2014
DOI: 10.2494/photopolymer.27.601
|View full text |Cite
|
Sign up to set email alerts
|

Progresses and Challenges of EUV Lithography Materials

Abstract: In the last years the continuous efforts on the development of EUV lithography has allowed to push the lithographic performances of the EUV photoresists on the ASML NXE:3100 full field exposure tool at imec. The latest chemically amplified photoresists can reach an ultimate resolution of 16 nm and 24 nm for line-space (L/S) and dense contacts (CH), respectively, but the major issue on EUV photoresists remains to simultaneously meet resolution, sensitivity, line-edge roughness (LER) for LS and local CD uniformi… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
16
0

Year Published

2015
2015
2024
2024

Publication Types

Select...
9

Relationship

2
7

Authors

Journals

citations
Cited by 16 publications
(16 citation statements)
references
References 14 publications
0
16
0
Order By: Relevance
“…The drawback of metal oxide resist is relatively low sensitivity, while nanoparticle resists lack enough resolution. Although these metal containing resist utilize novel patterning mechanism and smaller building blocks, RLS tradeoff is still observed [11]. In addition, due to relative short history of the metal resist, integration of these novel metal resists into high volume manufacturing requires tedious efforts in resist optimization and risk management.…”
Section: Introductionmentioning
confidence: 99%
“…The drawback of metal oxide resist is relatively low sensitivity, while nanoparticle resists lack enough resolution. Although these metal containing resist utilize novel patterning mechanism and smaller building blocks, RLS tradeoff is still observed [11]. In addition, due to relative short history of the metal resist, integration of these novel metal resists into high volume manufacturing requires tedious efforts in resist optimization and risk management.…”
Section: Introductionmentioning
confidence: 99%
“…The differences in solubilities between the exposed and unexposed areas allow the production of predesigned patterns after development. The main requirements of photoresists are high photosensitivity, high resolution, high thermal stability, and good adhesion to the substrate [20]. Generally, photoresists consist of a matrix resin, a photoinitiator, solvent, and other additives [21].…”
Section: Introductionmentioning
confidence: 99%
“…It is in this frame that imec has started a new project [1] in 2014 to look into novel materials for EUV lithography and explore alternative approaches that potentially can offer benefits in terms of resolution and LWR, patterning image fidelity, line collapse and etch resistance.…”
Section: Introductionmentioning
confidence: 99%