2015
DOI: 10.2494/photopolymer.28.507
|View full text |Cite
|
Sign up to set email alerts
|

Metal-containing Materials as Turning Point of EUV Lithography

Abstract: Limitations on current performances of the chemically amplified resists (CAR), as well as the productivity driven low exposure dose requirements (below 20 mJ/cm 2 ), have brought the researchers to look at a novel class of materials as possible alternative to the CA resists to simultaneously achieve resolution, line-width roughness (LWR) and sensitivity. In 2014, imec has started a new project to look into novel materials for EUV lithography with particular attention to metal containing materials (MCR) to expl… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
22
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
8
1

Relationship

4
5

Authors

Journals

citations
Cited by 23 publications
(22 citation statements)
references
References 8 publications
0
22
0
Order By: Relevance
“…If this is possible by making use of metal atoms that have good EUV cross sectional absorption [3] in the resist formulation (MCR), the challenge is to keep a high performing switching solubility mechanism, maintain patterning fidelity and mitigate shot noise with a better tradeoff between sensitivity and line width roughness (LWR) when compared to CARs. Further, a possible introduction of MCRs in a high volume manufacturing (HVM) line opens new scenarios about the management of the wafers and tools at the contamination and process level [4]. MCRs need to demonstrate a high capacity to compete with traditional organic materials while showing no risk of cross metal contamination when exposed on EUV cluster tools.…”
Section: Introductionmentioning
confidence: 99%
“…If this is possible by making use of metal atoms that have good EUV cross sectional absorption [3] in the resist formulation (MCR), the challenge is to keep a high performing switching solubility mechanism, maintain patterning fidelity and mitigate shot noise with a better tradeoff between sensitivity and line width roughness (LWR) when compared to CARs. Further, a possible introduction of MCRs in a high volume manufacturing (HVM) line opens new scenarios about the management of the wafers and tools at the contamination and process level [4]. MCRs need to demonstrate a high capacity to compete with traditional organic materials while showing no risk of cross metal contamination when exposed on EUV cluster tools.…”
Section: Introductionmentioning
confidence: 99%
“…In addition to chemically amplified resists (CAR), metal containing resists (MCR) are a novel class of resist materials that have drawn a lot of attention for advanced EUV patterning for 1x nm technology. This material has shown a good resolution and roughness performance and can compete with CAR materials [2]. MCR have a high absorption coefficient and in order to allow enough light to Fig.…”
Section: Introductionmentioning
confidence: 99%
“…5). The total electron yield of a non-chemically amplified metal containing resist (sample 6) with high lithographic performance [4] was evaluated. The TEY trend of such a photoresist across the entire energy spectrum is significantly different if compared to the TEY of previous samples 1 to 4.…”
Section: Photo Yieldmentioning
confidence: 99%
“…In previous work [4] we have reported the first assessment on the enablers of the MCRs from a manufacturing compatibility perspective (metal cross-contamination and outgassing at litho level). Thanks to the positive results of the initial assessment, the EUV lithographic performance and the etching properties were afterwards investigated.…”
Section: Introductionmentioning
confidence: 99%