2011
DOI: 10.1145/2019608.2019612
|View full text |Cite
|
Sign up to set email alerts
|

Power gating strategies on GPUs

Abstract: As technology continues to shrink, reducing leakage is critical to achieving energy efficiency. Previous studies on low-power GPUs (Graphics Processing Units) focused on techniques for dynamic power reduction, such as DVFS (Dynamic Voltage and Frequency Scaling) and clock gating. In this paper, we explore the potential of adopting architecture-level power gating techniques for leakage reduction on GPUs. We propose three strategies for applying power gating on different modules in GPUs. The Predictive Shader Sh… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
25
0

Year Published

2013
2013
2023
2023

Publication Types

Select...
4
1
1

Relationship

0
6

Authors

Journals

citations
Cited by 39 publications
(25 citation statements)
references
References 35 publications
0
25
0
Order By: Relevance
“…Reducing processor power can involve either hardware redesign or software redesign. Hardware redesign includes changing hardware threading, power gating or decreasing frequency [4,5]. A more power efficient implementation of the same code is a software aspect.…”
Section: Introductionmentioning
confidence: 99%
“…Reducing processor power can involve either hardware redesign or software redesign. Hardware redesign includes changing hardware threading, power gating or decreasing frequency [4,5]. A more power efficient implementation of the same code is a software aspect.…”
Section: Introductionmentioning
confidence: 99%
“…Therefore, a portion of CMOS registers may be free through the entire kernel execution, leading to the considerable leakage power consumption. In [2], the power gating technique has been introduced into GPU SM to remove leakage. We apply it to power off the unused CMOS registers in SMs.…”
Section: Methodsmentioning
confidence: 99%
“…Chu et al [6] explored the fine granularity clock gating scheme for registers. Wang et al [2] adopted the power gating technique at architecture level for leakage reduction on GPGPUs. Our technique targets on both dynamic and leakage savings and it is orthogonal to the techniques discussed above.…”
Section: Related Workmentioning
confidence: 99%
See 2 more Smart Citations