2009 IEEE Computer Society Annual Symposium on VLSI 2009
DOI: 10.1109/isvlsi.2009.54
|View full text |Cite
|
Sign up to set email alerts
|

On-line MPSoC Scheduling Considering Power Gating Induced Power/Ground Noise

Abstract: Power gating induced power/ground (P/G) noise is a major reliability problem facing by low power MPSoCs using power gating techniques. Powering on and off a processing unit in MPSoCs will induce large P/G noise and can cause timing divergence and even functional errors in surrounding processing units. P/G noise is different from thermal or energy which is an accumulative effect. The noise level should be predicted and victim circuits should be protected before the noise is induced. Hence, the power gating-awar… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
6
0

Year Published

2009
2009
2013
2013

Publication Types

Select...
5
1

Relationship

1
5

Authors

Journals

citations
Cited by 9 publications
(6 citation statements)
references
References 25 publications
0
6
0
Order By: Relevance
“…In order to analyze the performance of SENoC, it is necessary to understand the impacts of power gating induced P/G noise among PUs in MPSoC. We build a P/G noise simulation and analysis platform and systematically explore MPSoC P/G noise behaviors under different power gating scenarios [33]. The noise behaviors serve as the basis for the P/G noise aware task management methodology based on SENoC.…”
Section: The Physical Model Of Power Gating-induced P/g Noisymentioning
confidence: 99%
See 1 more Smart Citation
“…In order to analyze the performance of SENoC, it is necessary to understand the impacts of power gating induced P/G noise among PUs in MPSoC. We build a P/G noise simulation and analysis platform and systematically explore MPSoC P/G noise behaviors under different power gating scenarios [33]. The noise behaviors serve as the basis for the P/G noise aware task management methodology based on SENoC.…”
Section: The Physical Model Of Power Gating-induced P/g Noisymentioning
confidence: 99%
“…The simulations are based on the MPSoC P/G noise simulation and analysis platform, which is described in more detail in Section 4 and [33]. The P/G noise analysis platform is built up with HSPICE and C. Scheduling algorithms are implemented with C, Matlab, and SystemC, respectively.…”
Section: Implementation and Simulation Setupmentioning
confidence: 99%
“…There have been extensive researches on task scheduling and binding for MPSoC [13], [14], [15], [16], [17], [18], and also MPSoC power management [19], [20], [21], but few of them have addressed process variability.…”
Section: A Related Workmentioning
confidence: 99%
“…In order to analyze the performance of SENoC in the case study, it is necessary to understand the impacts of power gating induced P/G noise among PUs in MPSoC. We build a P/G noise simulation and analysis platform and systematically explore MPSoC P/G noise behaviors under different power gating scenarios [13]. The noise behaviors serve as the basis for the P/G noise aware task management methodology based on SENoC.…”
Section: The Physical Model Of Power Gating Induced P/g Noisementioning
confidence: 99%