2014 Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM) 2014
DOI: 10.1109/semi-therm.2014.6892211
|View full text |Cite
|
Sign up to set email alerts
|

On-chip phase change heat sinks designed for computational sprinting

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
24
0

Year Published

2015
2015
2023
2023

Publication Types

Select...
4
1
1

Relationship

1
5

Authors

Journals

citations
Cited by 27 publications
(24 citation statements)
references
References 16 publications
0
24
0
Order By: Relevance
“…Other work in the area proposes using PCM in cooperation with the performance boosting policies to increase system efficiency [4][5][6] [7]. Raghavan et al introduce computa tional sprinting, which is temporarily exceeding the TDP of the chip to improve the responsiveness during short bursts of computation [4].…”
Section: Related Workmentioning
confidence: 99%
See 3 more Smart Citations
“…Other work in the area proposes using PCM in cooperation with the performance boosting policies to increase system efficiency [4][5][6] [7]. Raghavan et al introduce computa tional sprinting, which is temporarily exceeding the TDP of the chip to improve the responsiveness during short bursts of computation [4].…”
Section: Related Workmentioning
confidence: 99%
“…They also introduce the concept of sprint pacing, where the cores sprint at a lower frequency when half of the thermal capacity is consumed. Other research develops techniques to sprint periodically for longer durations [6] [7]. Safe computational re-sprinting policy targets periodic tasks with hard deadlines and finds the minimum required PCM latent heat capacity to guarantee re-sprinting at full power [6].…”
Section: Related Workmentioning
confidence: 99%
See 2 more Smart Citations
“…One example that has attracted a great deal of attention recently suggests the use of PCMs to store excessive heat generated during intense computation from mobile devices (e.g., cellular phones, tablets), which briefly ($1 s) exceeds the maximum steady-state power dissipation by an order of magnitude. Known as computational sprinting [11][12][13][14], this approach aims to improve responsiveness for bursty computational demands in devices restricted by passive cooling.…”
Section: Introductionmentioning
confidence: 99%