2007 Asia and South Pacific Design Automation Conference 2007
DOI: 10.1109/aspdac.2007.358085
|View full text |Cite
|
Sign up to set email alerts
|

Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling

Abstract: This paper proposes Noise-Direct, a design methodology for power integrity aware floorplanning, using microarchitectural feedback to guide module placement. Stringent power constraints have led microprocessor designers to incorporate aggressive power saving techniques such as clock-gating, that place a significant burden on the power delivery network. While the application of extensive clock-gating can effectively reduce power consumption, unfortunately, it can also induce large inductive noise (di/dt), result… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
14
0

Year Published

2008
2008
2013
2013

Publication Types

Select...
6
1
1

Relationship

2
6

Authors

Journals

citations
Cited by 13 publications
(14 citation statements)
references
References 30 publications
0
14
0
Order By: Relevance
“…Most of these techniques tried to reduce the impedance path to individual modules in a processor, minimizing the voltage surges and dips. Floorplanning algorithms with the objective of minimizing inductive noise were studied recently [5,6,18]. Unlike this work, they are completely static solutions.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Most of these techniques tried to reduce the impedance path to individual modules in a processor, minimizing the voltage surges and dips. Floorplanning algorithms with the objective of minimizing inductive noise were studied recently [5,6,18]. Unlike this work, they are completely static solutions.…”
Section: Related Workmentioning
confidence: 99%
“…Note that simultaneous switching events along the same direction raises a major issue to power delivery. A floorplan that is resistant to inductive noise tries to generate a well balanced layout to distribute the current demand in a more regular manner across the power-supply grid [5,6,18]. Nonetheless, floorplanning is a static solution.…”
Section: Sources Of High-frequency Inductive Noisementioning
confidence: 99%
“…In contrast, our work demonstrates minimization of power-supply noise for the entire architecture and chip, and could be used in concert with their technique. The only other prior effort that includes early-design-phase consideration of power-supply noise [Mohamood et al 2007] does not directly consider the use of a dynamic controller. A comparison to this work is included in Section 6.…”
Section: Related Workmentioning
confidence: 99%
“…Tight low power requirements have forced MPSoC to aggressively adopt low power techniques such as dynamic voltage/frequency scaling, clock gating, and power gating [1,2]. While low power techniques like power gating can dramatically reduce power consumption for idle processing units (PUs), they exacerbate simultaneous switching noise (or di/dt noise) on the power delivery network.…”
Section: Introductionmentioning
confidence: 99%
“…The proposed techniques include sleep transistor designs [3,4], decoupling capacitor insertion [5], and P/G noise-aware floorplanning [1,2,6]. Recently, power gating sequence scheduling [7][8][9] in a block or several blocks were proposed to tradeoff wake-up time for P/G noise reduction.…”
Section: Introductionmentioning
confidence: 99%