2021
DOI: 10.3389/frai.2021.659060
|View full text |Cite
|
Sign up to set email alerts
|

NeuroSim Simulator for Compute-in-Memory Hardware Accelerator: Validation and Benchmark

Abstract: Compute-in-memory (CIM) is an attractive solution to process the extensive workloads of multiply-and-accumulate (MAC) operations in deep neural network (DNN) hardware accelerators. A simulator with options of various mainstream and emerging memory technologies, architectures, and networks can be a great convenience for fast early-stage design space exploration of CIM hardware accelerators. DNN+NeuroSim is an integrated benchmark framework supporting flexible and hierarchical CIM array design options from a dev… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
12
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
8

Relationship

1
7

Authors

Journals

citations
Cited by 27 publications
(12 citation statements)
references
References 20 publications
0
12
0
Order By: Relevance
“…To estimate the latency of the delay lines, and TDC (collectively referred to accumulation & sample stage), SPICE simulation with the cryogenic CMOS model was employed. For the estimation of following peripheral circuitry including shift-and-add, etc., NeuroSim [12] incorporated with the cryogenic CMOS model was used. Fig.…”
Section: A Benchmark Models and D = 3 Simulation Resultsmentioning
confidence: 99%
“…To estimate the latency of the delay lines, and TDC (collectively referred to accumulation & sample stage), SPICE simulation with the cryogenic CMOS model was employed. For the estimation of following peripheral circuitry including shift-and-add, etc., NeuroSim [12] incorporated with the cryogenic CMOS model was used. Fig.…”
Section: A Benchmark Models and D = 3 Simulation Resultsmentioning
confidence: 99%
“…Our profile tool is very simple and restricted (narrowed to our architecture). One of the well-developed profilers for the CIM hardware accelerator is NeuroSim [ 32 ]. However, the reason why we do not use the existing profiling tool is that our architecture design focus is on CIM macro development.…”
Section: Discussionmentioning
confidence: 99%
“…We have performed a system-level simulation of handwritten digit recognition from the data set of "Modified National Institute of Standards and Technology (MNIST)" to quantify the efficacy of current limited FeFET-based crossbar array in multi-layer perceptron (MLP) based NNs as synaptic cores [24], [25]. Experimentally obtained device-to-device variation and retention degradation of I BL have been modeled for NN simulation.…”
Section: Applications To In-memory-computingmentioning
confidence: 99%