2021
DOI: 10.1109/tcad.2020.3047724
|View full text |Cite
|
Sign up to set email alerts
|

Multilevel Dataflow-Driven Macro Placement Guided by RTL Structure and Analytical Methods

Abstract: When RTL designers define the hierarchy of a system, they exploit their knowledge about the conceptual abstractions devised during the design and the functional interactions between the logical components. This valuable information is often lost during physical synthesis. This paper proposes HiDaP, a novel multi-level algorithm that uses RTL information and analytical methods for the macro placement problem of modern designs dominated by multi-cycle connection pipelines. By taking advantage of the hierarchy tr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
2
2

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(1 citation statement)
references
References 29 publications
(35 reference statements)
0
1
0
Order By: Relevance
“…A novel multi-level algorithm that considers the Register Transfer Logic (RTL) connections between macros and standard cells is discussed in [22]. The synthesis net-list is divided based on dataflow hierarchy and a cost function is evaluated to optimize wire length and timing of the connections.…”
Section: Literature Reviewmentioning
confidence: 99%
“…A novel multi-level algorithm that considers the Register Transfer Logic (RTL) connections between macros and standard cells is discussed in [22]. The synthesis net-list is divided based on dataflow hierarchy and a cost function is evaluated to optimize wire length and timing of the connections.…”
Section: Literature Reviewmentioning
confidence: 99%