2021
DOI: 10.19101/ijatee.2021.874162
|View full text |Cite
|
Sign up to set email alerts
|

PNR flow methodology for congestion optimization using different macro placement strategies of DDR memories

Abstract: The large-scale growth of the electronics industry in the past decade has led to small-sized gadgets flooding the market. Initially, only Personal Computers (PC) employed the use of multi-core processing, however, now even small hand-held gadgets have come to possess similar computational power as the PC and laptop. With mobile manufacturers opting for multicore processing and graphics -intensive application usage, memory storage and access has become a crucial aspect to achieve high performance.

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
5

Relationship

0
5

Authors

Journals

citations
Cited by 6 publications
references
References 24 publications
(24 reference statements)
0
0
0
Order By: Relevance