2010 Symposium on VLSI Circuits 2010
DOI: 10.1109/vlsic.2010.5560251
|View full text |Cite
|
Sign up to set email alerts
|

Microwatt embedded processor platform for medical system-on-chip applications

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
30
0

Year Published

2011
2011
2017
2017

Publication Types

Select...
7
3

Relationship

0
10

Authors

Journals

citations
Cited by 61 publications
(30 citation statements)
references
References 13 publications
0
30
0
Order By: Relevance
“…UBTHRESHOLD circuits can dramatically reduce power dissipation [1], and hence, they are suitable for powerconstrained applications, such as sensor-node processors [2], [3] and medical applications [4]. Soft-error immunity of subthreshold circuits, however, has become a concern because the ultra-low voltage operation reduces the energy required to cause upsets [5], [6].…”
mentioning
confidence: 99%
“…UBTHRESHOLD circuits can dramatically reduce power dissipation [1], and hence, they are suitable for powerconstrained applications, such as sensor-node processors [2], [3] and medical applications [4]. Soft-error immunity of subthreshold circuits, however, has become a concern because the ultra-low voltage operation reduces the energy required to cause upsets [5], [6].…”
mentioning
confidence: 99%
“…Recently, ultra dynamic voltage scaling (UDVS) has attracted unprecedented interest since energy efficiency is widely recognized as the topmost design criterion in numerous applications such as micro-processors, portable electronics, wireless sensor node, etc., where V MIN is a major design concern for power and energy saving during low-performance modes [9,10]. It has been corroborated that minimum energy consumption can be achieved in the sub-threshold or near-threshold region where current drivability is an exponential function of device threshold voltage and node voltage.…”
Section: Introductionmentioning
confidence: 92%
“…Indeed, low-power processors devoted to bio-signal analysis have been proposed in the literature. Digital architectures in this domain mainly leverage aggressive Voltage-Frequency Scaling (VFS) [41] [2] [42], operation-level parallelism [19] [11] or application speci c accelerators [9] [26], in order to lower energy requirements. ese works consider a two-dimensional design space, tradingo costs (area and power) for performance (clock speed, instructions per cycle).…”
Section: Smart Wireless Body Sensor Nodesmentioning
confidence: 99%