22nd European Mask and Lithography Conference 2006
DOI: 10.1117/12.692639
|View full text |Cite
|
Sign up to set email alerts
|

Mask data volume: historical perspective and future requirements

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2007
2007
2014
2014

Publication Types

Select...
6
1
1

Relationship

0
8

Authors

Journals

citations
Cited by 14 publications
(6 citation statements)
references
References 3 publications
0
6
0
Order By: Relevance
“…13,14 In addition, Spence et al have demonstrated that the number of shots is directly correlated with the write-time. 5 While there are algorithms which simultaneously minimize sliver number and length along with number of shots, 1, 2 they ignore the underlying physics behind the mask writing process; rather, they solve a simpler geometric partitioning problem by introducing rules to capture the manufacturing parameters. A parallel can be drawn to early work in OPC that was built upon a series of rules for corrections rather than incorporating exact models.…”
Section: Mask Manufacturing Qualitymentioning
confidence: 99%
See 1 more Smart Citation
“…13,14 In addition, Spence et al have demonstrated that the number of shots is directly correlated with the write-time. 5 While there are algorithms which simultaneously minimize sliver number and length along with number of shots, 1, 2 they ignore the underlying physics behind the mask writing process; rather, they solve a simpler geometric partitioning problem by introducing rules to capture the manufacturing parameters. A parallel can be drawn to early work in OPC that was built upon a series of rules for corrections rather than incorporating exact models.…”
Section: Mask Manufacturing Qualitymentioning
confidence: 99%
“…The lower shot count is an important goal in limiting mask cost, write-time, and improving fidelity. 4,5 Recently, D2S has introduced the concept of model-based mask data preparation by simulating the electron beam (e-beam) mask writing process. [6][7][8][9] Their approach operates on the mask that has been optimized by pixel-based OPC.…”
Section: Model Based Fracturingmentioning
confidence: 99%
“…They need to manipulate huge file sizes which are having a significant impact on the fracturing time, tool throughput, and total productivity. In addition they need to handle the inspect-ability issues resulting from the close proximity of features and the presence of an excessive number of nubs and notches in the data [14]. All these have a big impact on the overall cost the masks and throughput.…”
Section: Introductionmentioning
confidence: 97%
“…C. Spence et al have demonstrated that the number of shots is directly correlated with the write-time [4]. Furthermore, due to the small critical feature size, it is reasonable to assume that the shot count is equal to the number of post-fracture figures, namely the resulting trapezoids.…”
Section: Introductionmentioning
confidence: 99%
“…To have good critical dimension uniformity the cumulative length of external slivers should be minimized. 4. In order to minimize the shot count and hence writing time, the resulting number of trapezoids should be minimized.…”
Section: Introductionmentioning
confidence: 99%