2007
DOI: 10.1117/12.714395
|View full text |Cite
|
Sign up to set email alerts
|

Mask-friendly OPC for a reduced mask cost and writing time

Abstract: In this work, the reduction of the shot count of the mask data is studied. This shot count reduction is achieved by reducing of the number of jogs resulting from the Model-Based Optical Proximity Correction (MBOPC) stage. To reduce the number of OPC-jogs, we study the impact of aligning very small jogs on the shot count as well as their effect on the residual Edge Placement Error (EPE). The OPC-jog alignment phase is made during OPC and not after it, so that the post alignment OPC iterations are responsible fo… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2007
2007
2014
2014

Publication Types

Select...
4

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(1 citation statement)
references
References 13 publications
0
1
0
Order By: Relevance
“…In this case any changes to the output layout are intrinsically verified against the tolerances required by the litho process. The OPC tools referenced in this study (Calibre OPCpro and Calibre nmOPC) offer two main usercontrolled options to reduce shot count [8,9] : 1. Jog-smoothing -the alignment of adjacent fragments to eliminate vertices prior to the final iterations 2.…”
Section: Optimized Opc Outputmentioning
confidence: 99%
“…In this case any changes to the output layout are intrinsically verified against the tolerances required by the litho process. The OPC tools referenced in this study (Calibre OPCpro and Calibre nmOPC) offer two main usercontrolled options to reduce shot count [8,9] : 1. Jog-smoothing -the alignment of adjacent fragments to eliminate vertices prior to the final iterations 2.…”
Section: Optimized Opc Outputmentioning
confidence: 99%