2012
DOI: 10.1117/12.923675
|View full text |Cite
|
Sign up to set email alerts
|

Mask write time reduction: deployment of advanced approaches and their impact on established work models

Abstract: The extension of 193nm exposure wavelength to smaller nodes continues the trend of increased data complexity and subsequently longer mask writing times. In particular inverse lithography methods create complex mask shapes. We introduce a variety of techniques to mitigate the impact -data simplification post-optical proximity correction (OPC), LShots, multi-resolution writing (MRW) and optimization based fracture. Their potential for shot count reduction is assessed. All of these techniques require changes to t… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 9 publications
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?