2015
DOI: 10.1021/acsami.5b01531
|View full text |Cite
|
Sign up to set email alerts
|

Low-Temperature Conformal Atomic Layer Deposition of SiNx Films Using Si2Cl6 and NH3 Plasma

Abstract: A plasma-enhanced atomic layer deposition (ALD) process was developed for the growth of SiNx thin films using Si2Cl6 and NH3 plasma. At substrate temperatures ≤400 °C, we show that this ALD process leads to films with >95% conformality over high aspect ratio nanostructures with a growth per cycle of ∼1.2 Å. The film growth mechanism was studied using in situ attenuated total reflection Fourier transform infrared spectroscopy. Our data show that on the SiNx growth surface, Si2Cl6 reacts with surface -NH2 groups… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

5
92
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
7

Relationship

1
6

Authors

Journals

citations
Cited by 73 publications
(97 citation statements)
references
References 57 publications
5
92
0
Order By: Relevance
“…88 Other applications include host matrix for ruthenium nanocrystals as seed/barrier layer for copper metallization in IC structures; 97,98 and hydrofluoric acid etch stop layer and electrically insulating spacer in MEMS and medical devices. 80,81 One common attribute in all these applications is the inherent ability of ALD to provide stringent atomic level control and excellent conformality 55 for SiN x coatings in challenging geometries where CVD begins to show its deficiencies and shortcomings.…”
Section: Atomic Layer Deposition (Ald)mentioning
confidence: 99%
See 3 more Smart Citations
“…88 Other applications include host matrix for ruthenium nanocrystals as seed/barrier layer for copper metallization in IC structures; 97,98 and hydrofluoric acid etch stop layer and electrically insulating spacer in MEMS and medical devices. 80,81 One common attribute in all these applications is the inherent ability of ALD to provide stringent atomic level control and excellent conformality 55 for SiN x coatings in challenging geometries where CVD begins to show its deficiencies and shortcomings.…”
Section: Atomic Layer Deposition (Ald)mentioning
confidence: 99%
“…PA-ALD SiN x is the subject of relatively few reports, 86,88 and the work focused on the reaction of halosilanes and N-bearing reactants, primarily: (a) Si halides containing Br and/or I (See section Overview of silicon nitride source chemistries) and a N-containing reactant, such as NH 3 , in the temperature range of 350-600…”
Section: Atomic Layer Deposition (Ald)mentioning
confidence: 99%
See 2 more Smart Citations
“…Eventually, after one cycle reaction, the dominant components on the new surface will be nitrogen-containing reactive sites (e.g., under-coordinated N atoms, –NH x , dangling bonds). As recently elaborated in the paper authored by Ande et al, the relation between the two half-cycle reactions of SiN x ALD should be reciprocal: the surface after the former reaction can facilitate the following reaction, otherwise, the film growth will not be sustainable [49]. …”
Section: Current Research Progressmentioning
confidence: 99%