2017
DOI: 10.1145/3140659.3080214
|View full text |Cite
|
Sign up to set email alerts
|

Jenga

Abstract: Caches are traditionally organized as a rigid hierarchy, with multiple levels of progressively larger and slower memories. Hierarchy allows a simple, fixed design to benefit a wide range of applications, since working sets settle at the smallest (i.e., fastest and most energy-efficient) level they fit in. However, rigid hierarchies also add overheads, because each level adds latency and energy even when it does not fit the working set. These overheads are expensive on emerging systems with heterogeneous memori… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
3
2
1

Relationship

0
6

Authors

Journals

citations
Cited by 18 publications
(2 citation statements)
references
References 64 publications
0
2
0
Order By: Relevance
“…To deal with these issues some approaches [15,17,24] have concentrated on placement/migration approaches in such organization. Recent works like Jigsaw and Jenga [6,39] propose an evolution of this organization by allocating specific banks to each core according to the cache needs of the running applications, hence the number of banks accessed by each core is not necessarily a power of two. The latter works are the most closely related to our proposal; however, these approaches present important differences: i) both Jigsaw and Jenga are hybrid software-hardware implemented; ii) allocated banks need to be located near the core which presents important constraints to the assignment algorithm; and iii) switching off strategies are not devised.…”
Section: Putting It All Together: Energy Efficiencymentioning
confidence: 99%
“…To deal with these issues some approaches [15,17,24] have concentrated on placement/migration approaches in such organization. Recent works like Jigsaw and Jenga [6,39] propose an evolution of this organization by allocating specific banks to each core according to the cache needs of the running applications, hence the number of banks accessed by each core is not necessarily a power of two. The latter works are the most closely related to our proposal; however, these approaches present important differences: i) both Jigsaw and Jenga are hybrid software-hardware implemented; ii) allocated banks need to be located near the core which presents important constraints to the assignment algorithm; and iii) switching off strategies are not devised.…”
Section: Putting It All Together: Energy Efficiencymentioning
confidence: 99%
“…For this purpose, in [87] it is presented a hybrid software-hardware approach that defines, by software, collections of cache bank partitions that act as virtual caches, and gives the software control over both data placement and capacity allocation. The proposal is further improved in [88], where they introduce Jenga, a reconfigurable cache hierarchy that adapts itself to applications. In Jenga, not only the cache space is distributed, but the whole cache hierarchy is adapted to the applications behavior, for instance, eliminating accesses to unnecessary cache levels.…”
Section: Other Approachesmentioning
confidence: 99%