2006 8th International Conference on Solid-State and Integrated Circuit Technology Proceedings 2006
DOI: 10.1109/icsict.2006.306511
|View full text |Cite
|
Sign up to set email alerts
|

Integration of Spin-RAM technology in FPGA circuits

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
19
0

Year Published

2007
2007
2017
2017

Publication Types

Select...
6
1

Relationship

0
7

Authors

Journals

citations
Cited by 29 publications
(19 citation statements)
references
References 5 publications
0
19
0
Order By: Relevance
“…3 shows a simple structure of a SRAM-based FPGA. It includes two radiation susceptive and reliability essential configurable memory units [4,12,16]. Fig3.a shows these two types of memories including logic blocks and interconnection switches.…”
Section: A Preliminaries On Magnetic-based Combinational and Sequentmentioning
confidence: 99%
“…3 shows a simple structure of a SRAM-based FPGA. It includes two radiation susceptive and reliability essential configurable memory units [4,12,16]. Fig3.a shows these two types of memories including logic blocks and interconnection switches.…”
Section: A Preliminaries On Magnetic-based Combinational and Sequentmentioning
confidence: 99%
“…In [10], authors have addressed the use of STT-MRAM in FPGAs circuits. Indeed, a writing circuit for an STT-MRAM and an STT-based nonvolatile register has been proposed in [10] and they have been both assessed competitive in terms of speed, power consumption, nonvolatility, and area in comparison with their counterparts implemented with more classical memories.…”
Section: Introductionmentioning
confidence: 99%
“…Indeed, a writing circuit for an STT-MRAM and an STT-based nonvolatile register has been proposed in [10] and they have been both assessed competitive in terms of speed, power consumption, nonvolatility, and area in comparison with their counterparts implemented with more classical memories. The same logic circuits using the TAS writing scheme have been proposed more recently by [11].…”
Section: Introductionmentioning
confidence: 99%
“…MRAM have so far been exclusively employed as elements on fine-grained FPGA look alike solutions, such as Look Up Tables (LUT) [3], [4], [9], [10], [11], [12] and no works have been published on MRAM-based coarse-grained reconfigurable arrays.…”
Section: State Of the Artmentioning
confidence: 99%
“…The strength and nature of the required magnetic field depends on the writing approach. Currently, there are 3 writing approaches known as Field Induced Magnetic Switching (FIMS) [3], [4], [9], Thermally Assisted Switching (TAS) [10], [11] and Spin Transfer Torque (STT) [12].…”
Section: State Of the Artmentioning
confidence: 99%