2011
DOI: 10.1063/1.3562305
|View full text |Cite
|
Sign up to set email alerts
|

Increase in current density for metal contacts to n-germanium by inserting TiO2 interfacial layer to reduce Schottky barrier height

Abstract: Metal contacts to n-type Ge have poor performance due to the Fermi level pinning near the Ge valence band at metal/Ge interfaces. The electron barrier height can be reduced by inserting ultrathin dielectrics at the metal-semiconductor interface. However, this technique introduces tunneling resistance from the large conduction band offset (CBO) between the insulator and Ge. In this work, the CBO between TiO2 and Ge is estimated to range from −0.06 to −0.26 eV so tunneling resistance can be reduced. By inserting… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

2
79
0

Year Published

2014
2014
2024
2024

Publication Types

Select...
8
1

Relationship

0
9

Authors

Journals

citations
Cited by 117 publications
(81 citation statements)
references
References 12 publications
2
79
0
Order By: Relevance
“…It has been demonstrated that thin tunneling barriers with low conduction band-offset (CBO or DEc) to Ge could achieve higher currents and thus enable low resistance metal-insulator-semiconductor (MIS) contacts to Ge. 16 The insertion of such thin tunnel barriers including Al 2 O 3 , 14 SiN 3 , 15 TiO 2 , 16 and ZnO (Ref. 17) to form MIS contacts has been shown to reduce the Schottky barrier height as well as facilitate the unpinning of Fermi-level in n-type Ge.…”
Section: Introductionmentioning
confidence: 99%
“…It has been demonstrated that thin tunneling barriers with low conduction band-offset (CBO or DEc) to Ge could achieve higher currents and thus enable low resistance metal-insulator-semiconductor (MIS) contacts to Ge. 16 The insertion of such thin tunnel barriers including Al 2 O 3 , 14 SiN 3 , 15 TiO 2 , 16 and ZnO (Ref. 17) to form MIS contacts has been shown to reduce the Schottky barrier height as well as facilitate the unpinning of Fermi-level in n-type Ge.…”
Section: Introductionmentioning
confidence: 99%
“…In Ge, like in other semiconductor systems, a robust and low-ohmic metal contacting 1 is needed for the successful fabrication of (opto-)electronic devices. However, critical technological hazards posed by the diffusion of the contacting metal into the Ge to form large aluminide or germanide clusters 2 and also the high Schottky barrier height of n-type Ge to metals 3 continue to present problems in need of solutions. In Ge photodiode fabrication, nickel (Ni) can, for example, be used as a barrier/contacting metal together with gold (Au) to achieve low contact resistance.…”
Section: Introductionmentioning
confidence: 99%
“…The advantage of the MIS contacts is most pronounced at relatively low doping levels, but it diminishes gradually with increasing N d -at ultrahigh doping levels, even the "ideal" MIS, A, cannot outperform its MS counterpart, D. Moreover, the existence of such an "ideal" MIS as A is suspicious: for instance, insulators with m à ti as low as 0.2 m 0 are uncommon; 46,50 insulators that have low DE C with semiconductors are rare; 44,46,50 moreover, there is usually a minimal insulator thickness of >1 nm required for an MIS to lower / b to 0.1 eV. 5,7,9,13 In conclusion, the MIS contacts are more appealing to the applications that use relatively low doped semiconductors, such as compound semiconductor devices and Si solar cells, while the MS contacts will still be the major force to push q c down below 1  10 À8 XÁcm 2 to meet the Complementary Metal-Oxide-Semiconductor (CMOS) requirement for the 10 nm technology node and beyond. 51 In summary, this paper systematically compares the contact resistivity of the MIS and MS contacts.…”
Section: Contact Resistivities Of Metal-insulator-semiconductor Contamentioning
confidence: 99%