2004
DOI: 10.1145/1011528.1011531
|View full text |Cite
|
Sign up to set email alerts
|

Implementing branch-predictor decay using quasi-static memory cells

Abstract: With semiconductor technology advancing toward deep submicron, leakage energy is of increasing concern, especially for large on-chip array structures such as caches and branch predictors. Recent work has suggested that even larger branch predictors can and should be used in order to improve microprocessor performance. A further consideration is that more aggressive branch predictors, especially multiported predictors for multiple branch prediction, may be thermal hot spots, thus further increasing its leakage.… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
14
0

Year Published

2007
2007
2011
2011

Publication Types

Select...
3
3

Relationship

1
5

Authors

Journals

citations
Cited by 11 publications
(14 citation statements)
references
References 25 publications
(36 reference statements)
0
14
0
Order By: Relevance
“…quasi-static 4T transistors in the VP array, although similar leakage savings would be expected [9].…”
Section: Value Prediction Decay Mechanismmentioning
confidence: 69%
See 3 more Smart Citations
“…quasi-static 4T transistors in the VP array, although similar leakage savings would be expected [9].…”
Section: Value Prediction Decay Mechanismmentioning
confidence: 69%
“…Kaxiras et al [10] successfully applied decay techniques to individual cache lines in order to reduce leakage in cache structures (67% of static power consumption can be saved with minimal performance loss). This technique has also been applied to conditional branch predictors and BTB structures [6] [9].…”
Section: Related Workmentioning
confidence: 99%
See 2 more Smart Citations
“…The decay cache provides a fine-grained leakage control scheme by enabling Vdd-gating on a per-line basis after specified time intervals [13]. Juang et al recognized that quasi-static 4T cells could be used to avoid the need to implement Vdd-gating transistors and demonstrate power savings benefits in a decaying branch predictor [12]. None of the above works consider the impact of process variations and, hence, they do not consider the variety of line-level retention time mechanisms that we consider.…”
Section: Related Workmentioning
confidence: 99%