40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007) 2007
DOI: 10.1109/micro.2007.40
|View full text |Cite
|
Sign up to set email alerts
|

Process Variation Tolerant 3T1D-Based Cache Architectures

Abstract: Abstract

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
50
0
4

Year Published

2010
2010
2022
2022

Publication Types

Select...
3
3
3

Relationship

1
8

Authors

Journals

citations
Cited by 277 publications
(54 citation statements)
references
References 22 publications
0
50
0
4
Order By: Relevance
“…For memory dominated structures, the SRAM array lookups have significantly more regularity and allow for dynamic partitioning and resizing which may allow them to improve performance in an energy-efficient manner by sacrificing capacity [30]. There has been a significant amount of work in adapting SRAM structures for use in both lowvoltage modes and severe parameter variation [25,30,31]. In contrast, logic dominated structures in the processor backend, most notably execution units, would be difficult to accelerate without significant impact to the power budget.…”
Section: Background and Motivationmentioning
confidence: 99%
“…For memory dominated structures, the SRAM array lookups have significantly more regularity and allow for dynamic partitioning and resizing which may allow them to improve performance in an energy-efficient manner by sacrificing capacity [30]. There has been a significant amount of work in adapting SRAM structures for use in both lowvoltage modes and severe parameter variation [25,30,31]. In contrast, logic dominated structures in the processor backend, most notably execution units, would be difficult to accelerate without significant impact to the power budget.…”
Section: Background and Motivationmentioning
confidence: 99%
“…In the e-DRAM cell, if the driving capability of the access transistor is reduced due to variations, the access speed of the cell will reduce. However, this effect can otherwise be viewed as a shorter retention time with unchanged access speed, as discussed in detail in [11]. The speed of an eDRAM cell gradually slows down after refresh.…”
Section: Process Variation Impact On Memorymentioning
confidence: 99%
“…Liang et. al [28] proposed the 3T1D (three transistors and a diode) DRAM cell. The speed of these cells is comparable to the speed of 6T SRAM cells.…”
Section: Leakage Reduction In Sram Cachesmentioning
confidence: 99%
“…The scrub operation can be implemented with a single binary counter [23,28] for the entire cache, initialized to the retention time divided by the total number of eDRAM blocks in the cache, and guarantees that all eDRAM blocks are checked (i.e., written back if dirty and invalidated) before the retention time expires. The impact of bank contention on performance is minimal because, i) most accesses hit in SRAM banks,…”
Section: High-performance Modementioning
confidence: 99%