1989
DOI: 10.1109/43.31546
|View full text |Cite
|
Sign up to set email alerts
|

Generation of performance constraints for layout

Abstract: In this paper we present methods for generating bounds on interconnection delays in a combinational network having specified timing requirements at its input and output terminals. An automatic placement program which uses wirability as its primary objective could use these delay bounds to generate length or capacitance bounds for interconnection nets as secondary objectives. Thus, unlike previous timing-driven placement algorithms described in the literature, the desired performance of the circuit is guarantee… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
95
0

Year Published

2002
2002
2013
2013

Publication Types

Select...
5
2
1

Relationship

0
8

Authors

Journals

citations
Cited by 159 publications
(95 citation statements)
references
References 6 publications
0
95
0
Order By: Relevance
“…Hence, maximizing the total budget can lead to further optimization in the area. In our previous work [11], we theoretically and empirically showed that optimal maximum budgeting outperforms ZSA [23] in reducing the design size. Maximum budgeting reduced the design size by more than 10% on average compared to ZSA algorithm.…”
Section: Lemma 4 For a Given Instance Of The Edge Budgeting Problem mentioning
confidence: 96%
See 1 more Smart Citation
“…Hence, maximizing the total budget can lead to further optimization in the area. In our previous work [11], we theoretically and empirically showed that optimal maximum budgeting outperforms ZSA [23] in reducing the design size. Maximum budgeting reduced the design size by more than 10% on average compared to ZSA algorithm.…”
Section: Lemma 4 For a Given Instance Of The Edge Budgeting Problem mentioning
confidence: 96%
“…Almost all of the techniques employed in these works are suboptimal heuristics such as Zero Slack Algorithm [23] and MISA [2]. In our previous work [11], we solved the problem of integral delay budgeting through LP relaxation.…”
Section: Related Workmentioning
confidence: 99%
“…Timing-driven placement is a technique to improve a circuit's speed. Several approaches for timing-driven placement have been proposed, one of which involves net budgeting [5][2] [9]. For an expected clock period defined by a user, budgeting converts the path timing constraints into timing (or length) upper bounds for nets.…”
Section: Introductionmentioning
confidence: 99%
“…Most of the previous works on delay budgeting have been focused on optimizing combinational circuits [5] [2]. For sequential circuits, it is usually assumed that FFs are at fixed positions, and they are treated like primary inputs (PIs) and outputs (POs).…”
Section: Introductionmentioning
confidence: 99%
“…The majority of previous work have used heuristics based on Zero Slack Algorithm (ZSA) [11] to assign timing constraints to design components. Previously, we presented optimal algorithms for integral time budgeting on a DAG [6,13] and showed their superiority over ZSA.…”
Section: Related Workmentioning
confidence: 99%