Proceedings of the 1997 ACM Fifth International Symposium on Field-Programmable Gate Arrays - FPGA '97 1997
DOI: 10.1145/258305.258322
|View full text |Cite
|
Sign up to set email alerts
|

FPGA routing and routability estimation via Boolean satisfiability

Abstract: *Guaranteeing or even estimating the routability of a portion of a placed FPGA remains difficult or impossible in most practical applications. In this paper we develop a novel formulation of both routing and routability estimation that relies on a rendering of the routing constraints as a single large Boolean equation. Any satisfying assignment to this equation specifies a complete detailed routing. By representing the equation as a Binary Decision Diagram (BDD), we represent all possible routes for all nets s… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
20
0

Year Published

1997
1997
2021
2021

Publication Types

Select...
4
3
3

Relationship

0
10

Authors

Journals

citations
Cited by 42 publications
(20 citation statements)
references
References 29 publications
(24 reference statements)
0
20
0
Order By: Relevance
“…Boolean satisfiability (SAT) solvers and their extensions, such as quantified Boolean formula satisfiability (QBF) solvers and pseudo-Boolean satisfiability (PBS) solvers, have become attractive tools for solving theoretically intractable problems in VLSI CAD, in areas such as testing [21], verification [25] and physical design [27]. Furthermore, any improvement to the state-of-theart in satisfiability solving translates into an immediate benefit to all satisfiability-based solutions.…”
Section: Introductionmentioning
confidence: 99%
“…Boolean satisfiability (SAT) solvers and their extensions, such as quantified Boolean formula satisfiability (QBF) solvers and pseudo-Boolean satisfiability (PBS) solvers, have become attractive tools for solving theoretically intractable problems in VLSI CAD, in areas such as testing [21], verification [25] and physical design [27]. Furthermore, any improvement to the state-of-theart in satisfiability solving translates into an immediate benefit to all satisfiability-based solutions.…”
Section: Introductionmentioning
confidence: 99%
“…For island-style (symmetric) FPGAs, some routing approaches via satisfiability has been done [Wood and Rutenbar 1998;Nam et al 1999Nam et al , 2001. They assumed that each wire segment spans only one block, used a heuristic global router to find a routing path (cells) for each net, and then used satisfiability to perform detailed routing in the cells along the globally routed paths.…”
Section: Introductionmentioning
confidence: 99%
“…Fast -Both the methods are extremely fast. In contrast, methods like BDD based estimation [16] are very slow and sometimes exceeds incremental routing in runtimes. Usable -These methods estimate actual track usages and thus can used to get both global and local routing usages.…”
Section: Related Workmentioning
confidence: 99%