2002
DOI: 10.1147/rd.464.0397
|View full text |Cite
|
Sign up to set email alerts
|

First- and second-level packaging for the IBM eServer z900

Abstract: This paper describes the system packaging of the processor cage for the IBM eServer z900. This server contains the world's most complex multichip module (MCM), with a wiring length of 1 km and a maximum power of 1300 W on a glass-ceramic substrate. The z900 MCM contains 35 chips comprising the heart of the central electronic complex (CEC) of this server. This MCM was implemented using two different glass-ceramic technologies: one an MCM-D technology (using thin film and glass-ceramic) and the other a pure MCM-… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
15
0

Year Published

2003
2003
2012
2012

Publication Types

Select...
4
4

Relationship

1
7

Authors

Journals

citations
Cited by 15 publications
(15 citation statements)
references
References 8 publications
(17 reference statements)
0
15
0
Order By: Relevance
“…A cursory comparison of the contents of Table I to previous zSeries buses [2], [5] indicates that source synchronous I/O circuit designs have become ubiquitous for the off-MCM interconnects. This trend facilitates the continued increase in data repetition rate, but it provides little or no improvement to the interconnection latency.…”
Section: Z990 Signalingmentioning
confidence: 99%
“…A cursory comparison of the contents of Table I to previous zSeries buses [2], [5] indicates that source synchronous I/O circuit designs have become ubiquitous for the off-MCM interconnects. This trend facilitates the continued increase in data repetition rate, but it provides little or no improvement to the interconnection latency.…”
Section: Z990 Signalingmentioning
confidence: 99%
“…The floorplan shown in Figure 2 illustrates the 16-chip MCM, which consists of eight dualcore processor chips labeled PU0 -PU7 in the figure, four L2 cache chips denoted as SD0 -SD3 1 creating 32 MB of shared second-level cache, a system controller chip (SCC), two memory controller chips (MC0, MC1), and a clock chip (CLK), which provides the clock distribution as well as pervasive function for the MCM. The MCM was designed using the methodology described in [1,5] with upgraded noise-checking tools and routing capability.…”
Section: MCMmentioning
confidence: 99%
“…This three-dimensional packaging structure is one of the factors providing the opportunity to increase the volumetric density of computing power. In a system cage and frame of similar size, the z900 [1], introduced in 2000, had 20 processor cores; the p690, introduced in 2001, had 32 processor cores; and the z990, introduced in 2003, is capable of housing 64 processor cores.…”
Section: Introductionmentioning
confidence: 99%
“…In the past few years, as the dimensions of packages and PCBs keep decreasing and the pin counts and routing layers keep increasing, the escape routing problem, which is to route nets from their pins to the component boundaries, becomes more and more critical [3], [10]- [12]. In a PCB bus escape routing instance, the nets of a bus are preferred to be routed together, without mixing with the nets from other buses [4], [5], [7].…”
Section: Introductionmentioning
confidence: 99%