2008 International Conference on Application-Specific Systems, Architectures and Processors 2008
DOI: 10.1109/asap.2008.4580145
|View full text |Cite
|
Sign up to set email alerts
|

Fast custom instruction identification by convex subgraph enumeration

Abstract: Automatic generation of custom instruction processors from high-level application descriptions enables fast design space exploration, while offering very favorable performance and silicon area combinations. This work introduces a novel method for adapting the instruction set to match an application captured in a high-level language. A simplified model is used to find the optimal instructions via enumeration of maximal convex subgraphs of application data flow graphs (DFGs). Our experiments involving a set of m… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
44
0

Year Published

2010
2010
2017
2017

Publication Types

Select...
4
4

Relationship

1
7

Authors

Journals

citations
Cited by 44 publications
(44 citation statements)
references
References 14 publications
0
44
0
Order By: Relevance
“…Unlike prior work, the techniques presented in this work do not rely on enumeration of maximal independent sets or maximal cliques. This work extends the work in [19], which describes a maximal convex subgraph enumeration algorithm with a proven upper bound on the size of the search space. The enumeration algorithm of [19] is explained in more depth, and additional search space reduction techniques are demonstrated.…”
Section: Introductionmentioning
confidence: 65%
See 1 more Smart Citation
“…Unlike prior work, the techniques presented in this work do not rely on enumeration of maximal independent sets or maximal cliques. This work extends the work in [19], which describes a maximal convex subgraph enumeration algorithm with a proven upper bound on the size of the search space. The enumeration algorithm of [19] is explained in more depth, and additional search space reduction techniques are demonstrated.…”
Section: Introductionmentioning
confidence: 65%
“…This work extends the work in [19], which describes a maximal convex subgraph enumeration algorithm with a proven upper bound on the size of the search space. The enumeration algorithm of [19] is explained in more depth, and additional search space reduction techniques are demonstrated. The enumeration approach makes it possible to integrate any merit function for ranking the subgraphs.…”
Section: Introductionmentioning
confidence: 65%
“…A design proposed in [8] applied to the base processor of Tensilica Xtensa and MicroBlaze processor to identify optimal instruction set from a give high level descriptions. This approach was based on ILP model and relaxes designer from the data bandwidth which is usually limited by FSL channels.…”
Section: Fig 3: Synthesis Of the Customized Hardware And Software Commentioning
confidence: 99%
“…A typical register file has two read ports and one write port, which limit the size of each ISE and the attainable speedup. Multi-cycle ISEs [12,10,14,15,1] overlap computation with I/O operations; however, the I/O interface remained a bottleneck. Several microarchitectural modifications have successfully improved input bandwidth, including shadow registers [5], register file clustering [7], and utilizing the pipeline forwarding logic [6].…”
Section: Related Workmentioning
confidence: 99%