Our system is currently under heavy load due to increased usage. We're actively working on upgrades to improve performance. Thank you for your patience.
Proceedings of the 51st Annual Design Automation Conference 2014
DOI: 10.1145/2593069.2593140
|View full text |Cite
|
Sign up to set email alerts
|

Fast and Accurate Thermal Modeling and Optimization for Monolithic 3D ICs

Abstract: In this paper, we present a comprehensive study of the unique thermal behavior in monolithic 3D ICs. In particular, we study the impact of the thin inter-layer dielectric (ILD) between the device tiers on vertical thermal coupling. In addition, we develop a fast and accurate compact full-chip thermal analysis model based on non-linear regression technique. Our model is extremely fast and highly accurate with an error of less than 5%. This model is incorporated into a thermal-aware 3D-floorplanner that runs wit… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
19
0

Year Published

2015
2015
2022
2022

Publication Types

Select...
5
3
2

Relationship

1
9

Authors

Journals

citations
Cited by 43 publications
(19 citation statements)
references
References 6 publications
0
19
0
Order By: Relevance
“…Fortunately, the problem is less severe in current monolithic 3-D FPGAs than other 3-D ICs. The reason is that heat dissipation is already reduced with shortened interconnects; most of the heat would be generated at the bottom CMOS logic layer and even heat generated at the top would flow to the bottom substrate, which is usually attached to a heat sink [90]. To solve the thermal and other system level issues, considerations including both device technologies and architecture designs are necessary.…”
Section: Discussionmentioning
confidence: 99%
“…Fortunately, the problem is less severe in current monolithic 3-D FPGAs than other 3-D ICs. The reason is that heat dissipation is already reduced with shortened interconnects; most of the heat would be generated at the bottom CMOS logic layer and even heat generated at the top would flow to the bottom substrate, which is usually attached to a heat sink [90]. To solve the thermal and other system level issues, considerations including both device technologies and architecture designs are necessary.…”
Section: Discussionmentioning
confidence: 99%
“…Thus, the thermal coupling within monolithic stacks is larger and more uniform than for TSV-based 3D ICs. This, in turn, calls for dedicated thermal management [21].…”
Section: Options For 3d Chip Stackingmentioning
confidence: 99%
“…The thickness and thermal properties of the various materials used are tabulated in Table 1. The structure of the chip (excluding package) is taken from [7]. From this table, we observe that the embedded graphite on the top of the chip, as well as the PCB at the bot- tom have much higher thermal conductivities in the lateral direction than in the vertical direction.…”
Section: Thermal Analysismentioning
confidence: 99%