The platform will undergo maintenance on Sep 14 at about 7:45 AM EST and will be unavailable for approximately 2 hours.
2018
DOI: 10.1016/j.microrel.2018.07.061
|View full text |Cite
|
Sign up to set email alerts
|

Evaluation of variability using Schmitt trigger on full adders layout

Abstract: The aggressive technology and voltage scaling which CMOS-based modern digital circuits are facing introduce challenges as short-channel effects, higher radiation and variability impact. As CMOS technology approaches its scaling limit, novel technology nodes, as FinFET, emerged to address such challenges. Although, even when shortchannel and radiation effects are mitigated due to technology instrinsic characteristics, the variability impact escalates with technology scaling and the lack of manufacturing precisi… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
8
0

Year Published

2019
2019
2021
2021

Publication Types

Select...
4
2
1

Relationship

2
5

Authors

Journals

citations
Cited by 18 publications
(8 citation statements)
references
References 23 publications
0
8
0
Order By: Relevance
“…This work showed an overview of the use of decoupling cells in FinFET logic gates for process variability mitigation. Among all methods presented in the literature [5][6][7][8][9], the insertion of decoupling cells had the best improvement in the normalized standard deviation (σ/µ), and lower penalties in area, power, and performance. For these reasons, this technique can be considered favorable to attenuate the process variability impact, mainly when the logic gates suffer process deviation above 4% on the metal gate work-function.…”
Section: Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…This work showed an overview of the use of decoupling cells in FinFET logic gates for process variability mitigation. Among all methods presented in the literature [5][6][7][8][9], the insertion of decoupling cells had the best improvement in the normalized standard deviation (σ/µ), and lower penalties in area, power, and performance. For these reasons, this technique can be considered favorable to attenuate the process variability impact, mainly when the logic gates suffer process deviation above 4% on the metal gate work-function.…”
Section: Discussionmentioning
confidence: 99%
“…To the best of our knowledge, few works are evaluating the influence of process variations at layout level in FinFET technologies as well as proposing or evaluating techniques to deal with process variations issues. In general, the approaches employed in the literature to mitigate the effects of process variations are focusing on the usage of different structure or material during the fabrication process [5,6], the replacement of traditional inverters by Schmitt Triggers in full-adders [7], the adoption of multilevel version instead of complex gates [8] or the transistor arrangements reordering [9].…”
Section: Introductionmentioning
confidence: 99%
“…To the best of our knowledge, only a few works are focusing on circuit-level methods for increasing the robustness of FinFET circuits to soft errors and process variability [15][16][17][18][19]. A dual-interlocked logic demonstrates to be resilient to SET impact for even for dual-node strikes using a 14nm FinFET technology [15].…”
Section: Comparison With Other Circuit-level Techniquesmentioning
confidence: 99%
“…The multilevel design instead of complex cells was studied in [17] as a mitigation strategy using the 7nm FinFET technology. The replacement of traditional inverters by Schmitt Triggers significantly decreases the process variability sensitivity in FinFET full adders designed in 7nm FinFET node [18]. The SET robustness due to the low-pass filter and redundancy strategies was explored in [19], adopting a 10nm FinFET node.…”
Section: Comparison With Other Circuit-level Techniquesmentioning
confidence: 99%
“…Some related works investigate the replacement of traditional inverts by Schmitt Triggers in FinFET full-adders showing up to be 37% more robust to the process variability impact [7]. Also, the concept of strengthening was applied in a FinFET inverter and compared with classical methods.…”
Section: Circuit Design For Improve the Reliabilitymentioning
confidence: 99%