2011 IEEE 29th International Conference on Computer Design (ICCD) 2011
DOI: 10.1109/iccd.2011.6081417
|View full text |Cite
|
Sign up to set email alerts
|

Evaluation of issue queue delay: Banking tag RAM and identifying correct critical path

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
5
0

Year Published

2012
2012
2022
2022

Publication Types

Select...
5
3

Relationship

4
4

Authors

Journals

citations
Cited by 8 publications
(5 citation statements)
references
References 5 publications
0
5
0
Order By: Relevance
“…Number of entries and pipeline depths of window resources at each level and the assumption of a cycle penalty at the level transition.literature[25]. In this simulation, we assumed MOSIS design rules [1] for 32nm LSI technology, and used the predictive transistor model [2] developed by the Nanoscale Integration and Modeling Group of Arizona State University for HSPICE.…”
mentioning
confidence: 99%
“…Number of entries and pipeline depths of window resources at each level and the assumption of a cycle penalty at the level transition.literature[25]. In this simulation, we assumed MOSIS design rules [1] for 32nm LSI technology, and used the predictive transistor model [2] developed by the Nanoscale Integration and Modeling Group of Arizona State University for HSPICE.…”
mentioning
confidence: 99%
“…The IQ fundamentally comprises the wakeup logic, select logic, tag RAM, and payload RAM [19], [20], as illustrated in Fig. 1.…”
Section: Organization Of Iqmentioning
confidence: 99%
“…This paper is an extension of our previous conference paper [4]- [6] providing more detailed descriptions and additional evaluation results.…”
Section: Introductionmentioning
confidence: 99%