2009
DOI: 10.1117/12.814355
|View full text |Cite
|
Sign up to set email alerts
|

Electrical impact of line-edge roughness on sub-45nm node standard cell

Abstract: As the transistors are scaled down, undesirable performance mismatch in identically designed transistors increases and hence causes greater impact on circuit performance and yield. Since Line-End Roughness (LER) has been reported to be in the order of several nanometers and not to decrease as the device shrinks, it has evolved as a critical problem in the sub-45nm devices and may lead to serious device parameter fluctuations and performance limitation for the future VLSI circuit application. Although LER is a … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

1
12
0

Year Published

2010
2010
2014
2014

Publication Types

Select...
6
1
1

Relationship

1
7

Authors

Journals

citations
Cited by 17 publications
(13 citation statements)
references
References 12 publications
(20 reference statements)
1
12
0
Order By: Relevance
“…High frequency LER induces intra-transistor variations in particular CD non-uniformity at the gate level. Low frequency LER causes inter-transistor response variations [9][10][11][12]. LER-induced variability is considered to be a serious problem in narrow transistors such as those in NAND Flash memories.…”
Section: Introductionmentioning
confidence: 99%
“…High frequency LER induces intra-transistor variations in particular CD non-uniformity at the gate level. Low frequency LER causes inter-transistor response variations [9][10][11][12]. LER-induced variability is considered to be a serious problem in narrow transistors such as those in NAND Flash memories.…”
Section: Introductionmentioning
confidence: 99%
“…Even though many advanced resolution enhancement techniques (RETs), such as optical proximity correction (OPC), phase-shifting mask (PSM), off-axis illumination (OAI), are applied into the metal patterning, the process variation due to the non-linear lithography process is so huge [10,18,19]. Double patterning technique (DPT) for achieving better resolution also put more variations (mask overlay issues and stitch points control) on metal patterning [11,12].…”
Section: Impacts Of Process Variations On Signal-emmentioning
confidence: 99%
“…LER is the deviation in pattern transfer from the photomask into the photoresist layer and can result in defects that compromise device performance. [14] A series of high-performance polycarbonates have been prepared with glass-transition temperatures and decomposition temperatures that are tunable by varying the repeat-unit chemical structure. Patterning of the polymers with extreme UV lithography has been achieved by taking advantage of direct photoinduced chain scission of the polymer chains, which results in a molecular-weight based solubility switch.…”
Section: Introductionmentioning
confidence: 99%