2022
DOI: 10.3390/electronics11142240
|View full text |Cite
|
Sign up to set email alerts
|

Efficient Detailed Routing for FPGA Back-End Flow Using Reinforcement Learning

Abstract: Over the past few years, the computation capability of field-programmable gate arrays (FPGAs) has increased tremendously. This has led to the increase in the complexity of the designs implemented on FPGAs and to the time taken by the FPGA back-end flow. The FPGA back-end flow comprises of many steps, and routing is one of the most critical steps among them. Routing normally constitutes more than 50% of the total time taken by the back-end flow and an optimization at this step can lead to overall optimization o… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2022
2022
2023
2023

Publication Types

Select...
2
2

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(2 citation statements)
references
References 42 publications
0
2
0
Order By: Relevance
“…Farooq [15] proposed a reinforcement learning (RL)-based approach to the routing problem by transforming the classical routing iterative process into the training process of RL. The proposed method utilizes a greedy approach and customized reward functions to speed up the routing step while maintaining similar or better quality of results (QoR) compared to conventional congestion-driven routing solutions based on negotiation.…”
Section: Routingmentioning
confidence: 99%
“…Farooq [15] proposed a reinforcement learning (RL)-based approach to the routing problem by transforming the classical routing iterative process into the training process of RL. The proposed method utilizes a greedy approach and customized reward functions to speed up the routing step while maintaining similar or better quality of results (QoR) compared to conventional congestion-driven routing solutions based on negotiation.…”
Section: Routingmentioning
confidence: 99%
“…Presently, commercial FPGA placement solutions predominantly rely on analytical methods and are recognized for their superior overall performance. In recent years, within the realm of large-scale digital integrated circuit placement research, placement tools based on machine learning methods have begun to emerge [21,22]. These include Google's EDA achievements based on reinforcement learning [23], the RLPlace placement tool based on reinforcement learning [24], and the DREAMPlace and DREAMPlaceFPGA frameworks with GPU acceleration [25,26].…”
Section: Introductionmentioning
confidence: 99%