2021
DOI: 10.1109/jiot.2020.3027479
|View full text |Cite
|
Sign up to set email alerts
|

Developing TEI-Aware Ultralow-Power SoC Platforms for IoT End Nodes

Abstract: Ranging from circuit-level characterization to designing a platform architecture, developing a design automation tool, and fabricating a System on Chip (SoC), this article deals with the entire development process for ultralow-power (ULP) SoCs for Internet-of-Things (IoT) end nodes. More precisely, this article first focuses on the unique characteristics of the ULP circuits, the temperature effect inversion (TEI), i.e., the delay of the ULP circuits decreases with increasing temperature. Existing TEI-aware low… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

2
3
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
3
3

Relationship

2
4

Authors

Journals

citations
Cited by 11 publications
(5 citation statements)
references
References 33 publications
2
3
0
Order By: Relevance
“…We have confirmed through previous studies that this TEI benefit is evident across the entire operating temperature range of the chip (typically from −25 °C to 125 °C) in various semiconductor technology processes [19,27]. TEI-LP techniques exploit the TEI benefit through various approaches, such as TEIaware voltage scaling (VS) [22,24,27,30], frequency scaling (FS [21], body biasing (BB) [26], or dynamic power management (DPM) [25]. Among these, the best-known technique is TEI-aware VS (TEI-VS), which converts the TEI benefit into power savings through voltage downscaling.…”
Section: Tei-aware Voltage Scaling Techniquesupporting
confidence: 80%
See 3 more Smart Citations
“…We have confirmed through previous studies that this TEI benefit is evident across the entire operating temperature range of the chip (typically from −25 °C to 125 °C) in various semiconductor technology processes [19,27]. TEI-LP techniques exploit the TEI benefit through various approaches, such as TEIaware voltage scaling (VS) [22,24,27,30], frequency scaling (FS [21], body biasing (BB) [26], or dynamic power management (DPM) [25]. Among these, the best-known technique is TEI-aware VS (TEI-VS), which converts the TEI benefit into power savings through voltage downscaling.…”
Section: Tei-aware Voltage Scaling Techniquesupporting
confidence: 80%
“…As T increases, the difference in delay, resulting from τ target , becomes more significant, and this delay margin, as shown in Figure 1, is defined as the TEI benefit. We have confirmed through previous studies that this TEI benefit is evident across the entire operating temperature range of the chip (typically from −25 °C to 125 °C) in various semiconductor technology processes [19,27]. TEI-LP techniques exploit the TEI benefit through various approaches, such as TEIaware voltage scaling (VS) [22,24,27,30], frequency scaling (FS [21], body biasing (BB) [26], or dynamic power management (DPM) [25].…”
Section: Tei-aware Voltage Scaling Techniquesupporting
confidence: 72%
See 2 more Smart Citations
“…In a previously published paper [33], we introduced a new electronic design automation (EDA) tool, RISC-V eXpress (RVX), that allows the SoC developers to quickly and easily create SoC platforms using a variety of RISC-V cores. Indeed, there are many open source RISC-V cores and it is not difficult to acquire them, but the process of developing SoCs using such open source cores is very complex, which requires a lot of time and effort with high design skills and experience.…”
Section: B Enabling Design Automation Of Risc-v Multicore Platforms With the Tcumentioning
confidence: 99%