2018 21st Euromicro Conference on Digital System Design (DSD) 2018
DOI: 10.1109/dsd.2018.00047
|View full text |Cite
|
Sign up to set email alerts
|

D-SET Mitigation Using Common Clock Tree Insertion Techniques for Triple-Clock TMR Flip-Flop

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2019
2019
2021
2021

Publication Types

Select...
4
1

Relationship

1
4

Authors

Journals

citations
Cited by 6 publications
(1 citation statement)
references
References 7 publications
0
1
0
Order By: Relevance
“…First, the hardness is achieved by the arrangement of the internal cells, and the robustness does not depend on the amount of insertion delay of a clock signal. In delaying-the-clock approaches [55]- [57], the individual clock tree or clock skew group latency determines the transient filter size. Second, the insertion of delay elements in the data line is more beneficial in terms of power consumption.…”
Section: Baseline Tmr Flip-flopmentioning
confidence: 99%
“…First, the hardness is achieved by the arrangement of the internal cells, and the robustness does not depend on the amount of insertion delay of a clock signal. In delaying-the-clock approaches [55]- [57], the individual clock tree or clock skew group latency determines the transient filter size. Second, the insertion of delay elements in the data line is more beneficial in terms of power consumption.…”
Section: Baseline Tmr Flip-flopmentioning
confidence: 99%