2019 IEEE International Symposium on High Performance Computer Architecture (HPCA) 2019
DOI: 10.1109/hpca.2019.00011
|View full text |Cite
|
Sign up to set email alerts
|

D-RaNGe: Using Commodity DRAM Devices to Generate True Random Numbers with Low Latency and High Throughput

Abstract: We propose a new DRAM-based true random number generator (TRNG) that leverages DRAM cells as an entropy source.The key idea is to intentionally violate the DRAM access timing parameters and use the resulting errors as the source of randomness. Our technique speci cally decreases the DRAM row activation latency (timing parameter t RCD ) below manufacturerrecommended speci cations, to induce read errors, or activation failures, that exhibit true random behavior. We then aggregate the resulting data from multiple… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

1
105
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
4
3
2

Relationship

2
7

Authors

Journals

citations
Cited by 85 publications
(106 citation statements)
references
References 136 publications
(322 reference statements)
1
105
0
Order By: Relevance
“…Using a typical subarray size of 1024 rows [20,67,69,74,85], SIMDRAM splits the row addressing into 1006 D-group rows, 2 C-group rows, and 16 B-group rows.…”
Section: Subarray Organizationmentioning
confidence: 99%
“…Using a typical subarray size of 1024 rows [20,67,69,74,85], SIMDRAM splits the row addressing into 1006 D-group rows, 2 C-group rows, and 16 B-group rows.…”
Section: Subarray Organizationmentioning
confidence: 99%
“…The main three approaches to obtain randomness from DRAM are: 1) Violating standard timing parameters. When the time to refresh memory is reduced below a threshold recommended by the manufacturer, some errors are produced and the value of some random memory cells is changed [26]. 2) Retaining cell charge.…”
Section: Dram Rngmentioning
confidence: 99%
“…When a device is turned on, memory cell contents are unpredictable due to interaction between different logic parts, such as precharge, row decoder and column select [76], [77]. The approach that provides good entropy with the lowest latency and highest throughput is ''Violating standard timing parameters'' [26]. It offers more than 5 MiB/s of true random data.…”
Section: Dram Rngmentioning
confidence: 99%
“…Another item covered was quick destruction of in-memory data (for DRAMs). The security-centric aspects of the presentation focused mainly on the speaker's work published in HPCA 2018, 15 HPCA 2019 16 and arxiv 2019. 17…”
Section: Visionary Talksmentioning
confidence: 99%