2019
DOI: 10.1587/transfun.e102.a.904
|View full text |Cite
|
Sign up to set email alerts
|

Conversion from Synchronous RTL Models to Asynchronous RTL Models

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
10
0

Year Published

2019
2019
2022
2022

Publication Types

Select...
3

Relationship

1
2

Authors

Journals

citations
Cited by 3 publications
(10 citation statements)
references
References 12 publications
0
10
0
Order By: Relevance
“…However, logic optimization considering the characteristics of asynchronous circuits cannot be performed, because logic synthesis is performed for synchronous Register Transfer Level (RTL) models with a clock constraint. We proposed a conversion method from synchronous RTL models into asynchronous ones in [22]. Compared to the GL conversion methods, we can optimize asynchronous circuits.…”
Section: Introductionmentioning
confidence: 99%
See 4 more Smart Citations
“…However, logic optimization considering the characteristics of asynchronous circuits cannot be performed, because logic synthesis is performed for synchronous Register Transfer Level (RTL) models with a clock constraint. We proposed a conversion method from synchronous RTL models into asynchronous ones in [22]. Compared to the GL conversion methods, we can optimize asynchronous circuits.…”
Section: Introductionmentioning
confidence: 99%
“…However, the RTL conversion method in [22] cannot deal with pipelined synchronous RTL models. Actually, pipelined synchronous circuits are used to improve the performance in many applications.…”
Section: Introductionmentioning
confidence: 99%
See 3 more Smart Citations