2009 IEEE International Symposium on Parallel &Amp; Distributed Processing 2009
DOI: 10.1109/ipdps.2009.5160971
|View full text |Cite
|
Sign up to set email alerts
|

Clock gate on abort: Towards energy-efficient hardware Transactional Memory

Abstract: Abstract-Transactional Memory (TM) is an emerging technology which promises to make parallel programming easier compared to earlier lock based approaches. However, as with any form of speculation, Transactional Memory too wastes a considerable amount of energy when the speculation goes wrong and transaction aborts. For Transactional Memory this wastage will typically be quite high because programmer will often mark a large portion of the code to be executed transactionally [4].We are proposing to turn-off a pr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
9
0

Year Published

2010
2010
2015
2015

Publication Types

Select...
4
3

Relationship

0
7

Authors

Journals

citations
Cited by 14 publications
(9 citation statements)
references
References 17 publications
0
9
0
Order By: Relevance
“…Indeed, the few existing works on TM that tried to optimize both energy and performance were mainly revolving around energy efficient hardware implementations of TM [8], [21]- [23].…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Indeed, the few existing works on TM that tried to optimize both energy and performance were mainly revolving around energy efficient hardware implementations of TM [8], [21]- [23].…”
Section: Related Workmentioning
confidence: 99%
“…Sanyal et al [21] pursue energy efficiency in hardware transactional memory by clock gating processors upon abort of a transaction. Baldassin et al [9] adopted a similar idea, although implemented at the software level and integrated with the CM module: using DVFS to lower the frequency of cores upon abort and during the (exponential) back-off phase.…”
Section: Related Workmentioning
confidence: 99%
“…The first comparison is based on work done by Sanyal et al [26]. When a transaction is aborted by a committing transaction, the clocks of the aborted processor are halted and remain so until a local timer expires.…”
Section: Measuring Upmentioning
confidence: 99%
“…However, their work relied on four non-contentious SPLASH-2 benchmarks and one in-house microbenchmark, making it difficult to draw any meaningful conclusions. Using an analytical model to estimate the additional power for an Alpha 21264, Sanyal et al [26] proposed a technique for clock gating on an abort using TCC. Neither of these proposals exploit the feedback inherently available in transactional memory like the scheduler proposed by Yoo and Lee [34] who proposed an adaptive scheduler using parallelism feedback and showed speedups of almost 2x for his selected benchmarks.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation