1997
DOI: 10.1149/1.1837679
|View full text |Cite
|
Sign up to set email alerts
|

Characterization of Titanium Etching in Cl2 /  N 2 Plasmas

Abstract: The effects of etch time, nitrogen and chlorine gas flows, radio frequency power, pressure, and temperature on the etch rate of sputtered titanium films in chlorine‐nitrogen plasmas were investigated in this work. The radio frequency power was found to have the greatest effect on the etch rate, followed by the reaction pressure. The increase in Ti etch rate with radio frequency power can be attributed to increased ionization of the gases and increase in ion and electron energies in the plasma. The increase in … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
16
1

Year Published

2001
2001
2018
2018

Publication Types

Select...
6
1

Relationship

0
7

Authors

Journals

citations
Cited by 13 publications
(17 citation statements)
references
References 1 publication
(1 reference statement)
0
16
1
Order By: Relevance
“…The Cl 2 flow rate was 50 sccm, pressure was fixed at 5 mTorr, and the bias power was kept at 50 W. Muthukrishnan et al reported that for titanium etching in a Cl 2 plasma, the etch depth increased in a parabolic manner with etch time due to a native titanium oxide (TiO x ) surface layer. 8 The difference between this reported Ti etch behavior and our TiN etch result may be attributed to differences in the oxidization layer thickness, the etch tools, and the etch conditions. the TiN and SiO 2 etch rates increase slightly as Cl 2 flow rate increases from 10 to 90 sccm, and a plateau appears to be reached around 70 sccm.…”
Section: A Effect Of Etch Time On Tin Etch Ratecontrasting
confidence: 59%
See 1 more Smart Citation
“…The Cl 2 flow rate was 50 sccm, pressure was fixed at 5 mTorr, and the bias power was kept at 50 W. Muthukrishnan et al reported that for titanium etching in a Cl 2 plasma, the etch depth increased in a parabolic manner with etch time due to a native titanium oxide (TiO x ) surface layer. 8 The difference between this reported Ti etch behavior and our TiN etch result may be attributed to differences in the oxidization layer thickness, the etch tools, and the etch conditions. the TiN and SiO 2 etch rates increase slightly as Cl 2 flow rate increases from 10 to 90 sccm, and a plateau appears to be reached around 70 sccm.…”
Section: A Effect Of Etch Time On Tin Etch Ratecontrasting
confidence: 59%
“…It has been reported that the addition of small amounts of N 2 can increase both the concentration of free chlorine atoms in the plasma, by reducing the Cl-Cl recombination, 8,17 and Ti etch rate. 8 On the other hand, N 2 addition was also reported to act as a polymer additive by eroding the photoresist, 6 and this probably reduced the etch rate in that investigation. However, our result shows that the TiN etch rate was nearly constant for a N 2 flow rate range of 0 to 15 sccm.…”
Section: E Effect Of N 2 Additionmentioning
confidence: 99%
“…The temperature of the substrate plays an important role in determining the chemical reaction rates, adsorption of the reactant species to the substrate and desorption of the reaction products from the substrate [11]. It also gives us an indication about the nature of the etch mechanism as chemical etching mechanisms normally exhibit the Arrhenius type dependence on the temperature [13].…”
Section: A Etching Rate Dependence On Temperature and Evaluation Of mentioning
confidence: 99%
“…5 The Cl 2 flux was chosen in order to have acceptable etch rates for 20 to 50 nm thick Ti film. An increased amount of Cl 2 would have led to an increase of the Ti etch rate 3,10 and thus to a fast and uncontrolled etching procedure. The bias power has been decreased to the minimum in order to decrease the titanium etch rate as well as the resist etch rate and maintain a good selectivity.…”
Section: Fabrication Process a Titanium Dry Etchingmentioning
confidence: 99%
“…8 The fabrication of titanium nanostructures less than 100 nm requires precise control of the resist pattern transfer in the metal through the etching process. Dry etching of titanium thin films involves fluorine and/or chlorine based chemistries 3,4,9,10 that lead to etch rates in the range of a lm/ min. However, Gilmartin et al 5 have developed a nanoscale Ti etch process using electron beam lithography (EBL), achieving 70 nm critical dimension (CD) structures.…”
Section: Introductionmentioning
confidence: 99%