16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011) 2011
DOI: 10.1109/aspdac.2011.5722210
|View full text |Cite
|
Sign up to set email alerts
|

CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits

Abstract: 3-D monolithic integration (3DMI), also termed as sequential integration, is a potential technology for future gigascale circuits. Since the device layers are processed in sequential order, the size of the vertical contacts is similar to traditional contacts unlike in the case of parallel 3-D integration with through silicon vias (TSVs). Given the advantage of such small contacts, 3DMI enables manufacturing multiple active layers very close to each other. In this work we propose two different strategies of sta… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
24
1

Year Published

2012
2012
2022
2022

Publication Types

Select...
4
4
1

Relationship

1
8

Authors

Journals

citations
Cited by 45 publications
(25 citation statements)
references
References 15 publications
0
24
1
Order By: Relevance
“…Various design methodologies for M3D digital circuits have been proposed so far, [5], [6]. To the best of our knowledge there is no prior work on M3D integration for RF/AMS ICs.…”
Section: Related Workmentioning
confidence: 99%
“…Various design methodologies for M3D digital circuits have been proposed so far, [5], [6]. To the best of our knowledge there is no prior work on M3D integration for RF/AMS ICs.…”
Section: Related Workmentioning
confidence: 99%
“…In fact, multi- tier (more than two tiers) 3D ICs are expected to provide more benefits than two-tier 3D ICs [5] and some monolithic 3D integration technologies can fabricate multiple device layers [6]. In this paper, therefore, we also design multi-tier monolithic 3D ICs using the uniform-scaling-based and our placement algorithms and compare the quality of the algorithms.…”
Section: Introductionmentioning
confidence: 97%
“…1) largely reduce the physical dimensions of the vertical connections to be of only metal-via sizes. The high-density integration makes monolithic 3D-IC a promising solution [5], [6] to cope with interconnect-limited 2D-ICs, where most of the problems are essentially caused by the high interconnect density at gate level.…”
Section: Introductionmentioning
confidence: 99%