2008 14th IEEE International Symposium on Asynchronous Circuits and Systems 2008
DOI: 10.1109/async.2008.14
|View full text |Cite
|
Sign up to set email alerts
|

Automatic Compilation of Data-Driven Circuits

Abstract: This paper describes a method of synthesising asynchronous circuits based on the Handshake Circuit paradigm but employing a data-driven, rather than the control-driven, style. This approach attempts to combine the performance advantages of data-driven asynchronous design styles with the handshake circuit style of construction.The integration into the existing Balsa design flow of a compiler for descriptions written in a new datadriven language is described. The method is demonstrated using a significant design… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
5
0

Year Published

2009
2009
2021
2021

Publication Types

Select...
5
1

Relationship

2
4

Authors

Journals

citations
Cited by 11 publications
(5 citation statements)
references
References 16 publications
0
5
0
Order By: Relevance
“…The data-driven style of our handshake circuits is very similar (i.e. passive inputs, active outputs) and is based on the same insights as in the work of Taylor for Balsa [1], [2], [3]. Taylor has chosen for a datadriven subset of Balsa, which is then compiled in a syntaxdirected way into a data-flow circuit.…”
Section: Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…The data-driven style of our handshake circuits is very similar (i.e. passive inputs, active outputs) and is based on the same insights as in the work of Taylor for Balsa [1], [2], [3]. Taylor has chosen for a datadriven subset of Balsa, which is then compiled in a syntaxdirected way into a data-flow circuit.…”
Section: Discussionmentioning
confidence: 99%
“…Dataflow techniques are well known in compiler design and are now rapidly gaining popularity in asynchronous circuit design [1], [2], [3], [4].…”
Section: Introductionmentioning
confidence: 99%
“…The circuit generated by Balsa is control driven [11]. Data paths are synchronized by control circuits, therefore, the size of glue logic between data path and control logic, such as the MUX, DEMUX and read control of variables, are linear with the wire count of data paths.…”
Section: A Hardware Implementationmentioning
confidence: 99%
“…In order to enable higher speed it is necessary to support a data-driven pipelined design style as well. Research at the University of Manchester [20] has addressed this by extending the Balsa language with constructs that will allow a designer to express data-flow. This must be supported by a set of data-flow handshake-components such as combinatorial circuit blocks and variables, both with passive input ports and active output ports, such that controlless data-driven pipelined circuits may be generated.…”
Section: Data-driven Circuitsmentioning
confidence: 99%