2016
DOI: 10.1021/acsami.6b07181
|View full text |Cite
|
Sign up to set email alerts
|

Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper

Abstract: Atomic layer deposition of ruthenium is studied as a barrierless metallization solution for future sub-10 nm interconnect technology nodes. We demonstrate the void-free filling in sub-10 nm wide single damascene lines using an ALD process in combination with 2.5 Å of ALD TiN interface and postdeposition annealing. At such small dimensions, the ruthenium effective resistance depends less on the scaling than that of Cu/barrier systems. Ruthenium effective resistance potentially crosses the Cu curve at 14 and 10 … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

2
63
0

Year Published

2017
2017
2024
2024

Publication Types

Select...
8
1

Relationship

0
9

Authors

Journals

citations
Cited by 104 publications
(65 citation statements)
references
References 34 publications
2
63
0
Order By: Relevance
“…As a promising candidate, Ru has received great attention because of its low bulk resistivity, superior electromigration reliability, and the prospect of barrier-less process [ 263 , 264 , 265 ]. Currently, the damascene implementation of ruthenium lines is hampered by the availability of optimized CMP.…”
Section: Metal Materials Interconnectmentioning
confidence: 99%
See 1 more Smart Citation
“…As a promising candidate, Ru has received great attention because of its low bulk resistivity, superior electromigration reliability, and the prospect of barrier-less process [ 263 , 264 , 265 ]. Currently, the damascene implementation of ruthenium lines is hampered by the availability of optimized CMP.…”
Section: Metal Materials Interconnectmentioning
confidence: 99%
“…ALD Ru was studied as an option for barrierless metallization for the future interconnects [ 264 ]. Ru shows regular nucleation on SiO 2 without any growth inhibition, and the adhesion was significantly increased to 7.0 ± 2.3 Jm 2 by applying an ALD TiN adhesion promoting layer with a thickness as low as 0.25 nm.…”
Section: Metal Materials Interconnectmentioning
confidence: 99%
“…For such narrow lines, the intrinsic properties of Cu start to severely limit the interconnect performance. At first, Cu resistivity is increased because of electron scattering at the sidewall and grain boundaries [140,141,142], which results in an exponential increase in resistivity and resistance. Secondly, there are limitations in scaling the diffusion barrier for the currently used Cu dual-damascene process, which increasingly reduces the Cu volume in interconnect lines [143,144].…”
Section: Beol For Nano-scale Transistorsmentioning
confidence: 99%
“…Ruthenium based barrier layers for microelectronic applications, in particular, constitute an application that is gaining significant attention in the modern electronic industry. 8,9 Indeed, interdiffusion between copper interconnects used in modern integrated circuits (IC) technology and silicon from the wafers can be efficiently limited employing nanometric layers of ruthenium instead of current state-of-the-art materials like Ta/TaN 10 or TiN. 11 The reason for the high shielding efficiency of ruthenium can be found in its extremely low miscibility with copper and in the absence of intermetallics in the Cu-Ru phase diagram.…”
mentioning
confidence: 99%