2019
DOI: 10.3390/mi10080509
|View full text |Cite
|
Sign up to set email alerts
|

An Ultra-Area-Efficient 1024-Point In-Memory FFT Processor

Abstract: Current computation architectures rely on more processor-centric design principles. On the other hand, the inevitable increase in the amount of data that applications need forces researchers to design novel processor architectures that are more data-centric. By following this principle, this study proposes an area-efficient Fast Fourier Transform (FFT) processor through in-memory computing. The proposed architecture occupies the smallest footprint of around 0.1 mm 2 inside its class together with accep… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
8
0

Year Published

2020
2020
2024
2024

Publication Types

Select...
6
3

Relationship

3
6

Authors

Journals

citations
Cited by 17 publications
(9 citation statements)
references
References 46 publications
(51 reference statements)
0
8
0
Order By: Relevance
“…At that point, a truly in-memory implementation of these applications on APs can provide more benefits than GPU-based implementations. Many studies in the literature prove that AP-based implementations of data-intensive applications have superior performance than the traditional correspondences [20,[40][41][42][43], including the applications that has processing flow similar to stencil codes like fast Fourier Transform (FFT) [44]. Therefore, it is obvious that another memory-bound application of the stencil code can get a benefit, which is the main idea of this study.…”
Section: Stencil Codesmentioning
confidence: 96%
“…At that point, a truly in-memory implementation of these applications on APs can provide more benefits than GPU-based implementations. Many studies in the literature prove that AP-based implementations of data-intensive applications have superior performance than the traditional correspondences [20,[40][41][42][43], including the applications that has processing flow similar to stencil codes like fast Fourier Transform (FFT) [44]. Therefore, it is obvious that another memory-bound application of the stencil code can get a benefit, which is the main idea of this study.…”
Section: Stencil Codesmentioning
confidence: 96%
“…APs have been explored for many applications such as matrix multiplication [11], [12], fast Fourier transform (FFT) [13], discrete Fourier transform (DCT) and video application [43], DNA sequence alignment [14], stencil applications [44], convolution operation [9], solution of path problems [45], optimum branchings [46], databases applications [47] and computer vision [48]. The old applications need to be revisited and re-evaluate under the new AP design approaches and technologies besides exploring new applications that could benefit from the AP.…”
Section: B Promising Applicationsmentioning
confidence: 99%
“…For this reason, their best usage is in applications that have an inherent SIMD (single-instruction multiple-data) computational pattern. Fast Fourier transform (FFT) [15], DNA sequence alignment [16], stencil [17], and matrix multiplication [18], [19] are some example applications that can benefit from AP. In an analogy, APs can be considered as a next step on the path of the CPU (central processing unit) to GPU (graphical processing unit) transformation.…”
Section: Content Addressable Memorymentioning
confidence: 99%
“…On the other hand, if the bit is logic-0, it simply skips all the write cycles since there will be no match at the end of a compare operation. This operation is crucial for many applications such as FFT, Fast Walsh-Hadamard transform, etc., when the multiplier is variable through the CAM rows [13], [15]. On the other hand, in CNNs, the same weights are applied to a frame during a convolution operation by performing constant multiplication.…”
Section: Constant Multiplication and Bit-level Sparsitymentioning
confidence: 99%