2006
DOI: 10.1116/1.2375085
|View full text |Cite
|
Sign up to set email alerts
|

Actinic inspection of extreme ultraviolet programed multilayer defects and cross-comparison measurements

Abstract: High sensitivity actinic detection of native defects on extreme ultraviolet lithography mask blanksThe production of defect-free mask blanks remains a key challenge for extreme ultraviolet ͑EUV͒ lithography. Integral to this effort is the development and characterization of mask inspection tools that are sensitive enough to detect critical defects with high confidence. Using a single programed-defect mask with a range of buried bump-type defects, the authors report a comparison of measurements made in four dif… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
25
0

Year Published

2007
2007
2017
2017

Publication Types

Select...
5
3
1

Relationship

4
5

Authors

Journals

citations
Cited by 42 publications
(25 citation statements)
references
References 12 publications
0
25
0
Order By: Relevance
“…We have developed a unique actinic mask inspection tool 1,2 that operates at EUV wavelengths and is now used in the evaluation of patterned and open-field defect repair strategies without printing 3,4 . The tool is also being used to deepen our understanding of the sensitivity of non-actinic inspection methods, and to resolve research questions about the development of, or need for, commercial actinic tools 3,5 .…”
Section: Introductionmentioning
confidence: 99%
“…We have developed a unique actinic mask inspection tool 1,2 that operates at EUV wavelengths and is now used in the evaluation of patterned and open-field defect repair strategies without printing 3,4 . The tool is also being used to deepen our understanding of the sensitivity of non-actinic inspection methods, and to resolve research questions about the development of, or need for, commercial actinic tools 3,5 .…”
Section: Introductionmentioning
confidence: 99%
“…Inc. and the EUV dark-field actinic-inspection system at MIRAI and LBNL, can also detect these phase defects. 6,7 However, the detection principles on which they are based do not permit an aerial image of the mask absorber pattern to be produced.…”
Section: Introductionmentioning
confidence: 99%
“…When particles or pits are buried in the multilayer, resulting in very small protrusions or depressions on the multilayer surface, the defects produced in such cases are hardly noticeable using a conventional inspection tool with a deep-UV wavelength. Therefore, an actinic (at the wavelength of wafer exposure) blank inspection (ABI) technique is being developed [1][2][3][4][5][6].…”
Section: Introductionmentioning
confidence: 99%