2017
DOI: 10.1145/3125641
|View full text |Cite
|
Sign up to set email alerts
|

A Survey of Fault-Tolerance Algorithms for Reconfigurable Nano-Crossbar Arrays

Abstract: Nano-crossbar arrays have emerged as a promising and viable technology to improve computing performance of electronic circuits beyond the limits of current CMOS. Arrays offer both structural efficiency with reconfiguration and prospective capability of integration with different technologies. However, certain problems need to be addressed and the most important one is the prevailing occurrence of faults. Considering fault rate projections as high as 20% that is much higher than those of CMOS, it is fair to exp… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
25
0

Year Published

2017
2017
2024
2024

Publication Types

Select...
5
2
1

Relationship

3
5

Authors

Journals

citations
Cited by 25 publications
(26 citation statements)
references
References 85 publications
0
25
0
Order By: Relevance
“…This approach also produced low yield results as well. Another important tendency worth mentioning, majority of logic mapping methods in the literature utilize 2.25 times area overheads [5]. We show that this practice is an excessive measure and disregards the features of logic functions and nano-crossbars.…”
Section: A Previous Workmentioning
confidence: 88%
See 2 more Smart Citations
“…This approach also produced low yield results as well. Another important tendency worth mentioning, majority of logic mapping methods in the literature utilize 2.25 times area overheads [5]. We show that this practice is an excessive measure and disregards the features of logic functions and nano-crossbars.…”
Section: A Previous Workmentioning
confidence: 88%
“…In Figure 1, a successful mapping process is shown. Different mapping methods such as integer linear programming, satisfiability, graph embedding and bipartite matching are used [5]. In this paper, we choose two approaches: a greedy heuristic method with matrix matching having the smallest runtime [9] and a memetic algorithm using bipartite matching having the highest success rate [10].…”
Section: Logic Mapping Processmentioning
confidence: 99%
See 1 more Smart Citation
“…Indeed, this problem is very similar to the logic mapping of reconfigurable nano-crossbar arrays (using AND-OR logic) for which a quite mature literature exists. 7,8 However, most of the mentioned studies focus on a single plane of crossbar, particularly AND, and neglect the OR plane. Furthermore, the related algorithms operate using 1.5 times larger crossbars and show poor performance for optimum-size crossbars.…”
Section: Onur Tunali M Ceylan Morgül and Mustafa Altun Istanbul Tementioning
confidence: 99%
“…Although defect/fault tolerant logic mapping for nanocrossbars has been long studied [16], research on variation tolerance is relatively new. First, Gojman and Dehon consider variations on crosspoint transistor parameters to accurately determine the placement of defects as opposed to using randomly assigned defect maps [17].…”
Section: Previous Workmentioning
confidence: 99%