2008
DOI: 10.1143/apex.1.051406
|View full text |Cite
|
Sign up to set email alerts
|

A Significant Shift of Schottky Barrier Heights at Strongly Pinned Metal/Germanium Interface by Inserting an Ultra-Thin Insulating Film

Abstract: At any metal/germanium (Ge) interfaces, Schottky junctions to n-Ge and ohmic ones to p-Ge are formed by the strong Fermi level pinning to the valence band edge of Ge. In this paper, we report that Schottky-ohmic characteristics are reversed by inserting an ultra-thin oxide film into the metal/Ge interface. A gradual change of Schottky barrier heights (SBHs) with increasing insulating film thickness has been found, which supports that the origin of Fermi level pinning at the metal/Ge junction is caused by the m… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2

Citation Types

7
163
0

Year Published

2016
2016
2022
2022

Publication Types

Select...
7
1

Relationship

1
7

Authors

Journals

citations
Cited by 222 publications
(170 citation statements)
references
References 14 publications
(15 reference statements)
7
163
0
Order By: Relevance
“…Hence, we have demonstrated two kinds of tuning methods for the metal wave function tail into Ge. One is ultrathin-film insertion, which can block the tailing, 9) and the other is the electron density modulation in metals, which may change the tailing extent into Ge. Since the density of MIGS at element metal=Ge should be sufficiently high to screen out other extrinsic FLP mechanisms, the strong intrinsic mechanism should dominate the FLP.…”
mentioning
confidence: 99%
“…Hence, we have demonstrated two kinds of tuning methods for the metal wave function tail into Ge. One is ultrathin-film insertion, which can block the tailing, 9) and the other is the electron density modulation in metals, which may change the tailing extent into Ge. Since the density of MIGS at element metal=Ge should be sufficiently high to screen out other extrinsic FLP mechanisms, the strong intrinsic mechanism should dominate the FLP.…”
mentioning
confidence: 99%
“…Several studies examine the effect of the proximity of the metal atoms to the metal-semiconductor interface and the generation of metal-induced gap states (MIGS) [105,57,106,107]. The work presented here aims to examine the effect of ultra-thin layers of chromium with a pinning effect generated from the gold capping layer.…”
Section: Fermi Level Pinningmentioning
confidence: 99%
“…Previous studies on Fermi-level pinning reveal that MIGS can be generated by a metal layer several atomic distances away from the interface. Nishimura et al investigated germanium with ultra-thin oxides at the interface and various metals on top of the oxide [105]. While varying the oxide thickness Nishimura was able to change the electrical properties of the diode from ohmic transport to Schottky and from Schottky to ohmic.…”
Section: Fermi Level Pinningmentioning
confidence: 99%
“…1,2 By simply inserting an ultrathin dielectric layer-such as TiO x , 3-8 AlO x , [9][10][11] Si 3 N 4 , 9,12,13 MgO, 14,15 etc. [16][17][18][19][20] -between the metal and the semiconductor, / b can be efficiently lowered down to 0.2 eV or even further.…”
Section: Contact Resistivities Of Metal-insulator-semiconductor Contamentioning
confidence: 99%
“…The advantage of the MIS contacts is most pronounced at relatively low doping levels, but it diminishes gradually with increasing N d -at ultrahigh doping levels, even the "ideal" MIS, A, cannot outperform its MS counterpart, D. Moreover, the existence of such an "ideal" MIS as A is suspicious: for instance, insulators with m à ti as low as 0.2 m 0 are uncommon; 46,50 insulators that have low DE C with semiconductors are rare; 44,46,50 moreover, there is usually a minimal insulator thickness of >1 nm required for an MIS to lower / b to 0.1 eV. 5,7,9,13 In conclusion, the MIS contacts are more appealing to the applications that use relatively low doped semiconductors, such as compound semiconductor devices and Si solar cells, while the MS contacts will still be the major force to push q c down below 1  10 À8 XÁcm 2 to meet the Complementary Metal-Oxide-Semiconductor (CMOS) requirement for the 10 nm technology node and beyond. 51 In summary, this paper systematically compares the contact resistivity of the MIS and MS contacts.…”
Section: Contact Resistivities Of Metal-insulator-semiconductor Contamentioning
confidence: 99%