2011
DOI: 10.1109/tvlsi.2010.2072997
|View full text |Cite
|
Sign up to set email alerts
|

A Hybrid Scheme for On-Chip Voltage Regulation in System-On-a-Chip (SOC)

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
15
0

Year Published

2013
2013
2016
2016

Publication Types

Select...
4
3
2

Relationship

0
9

Authors

Journals

citations
Cited by 23 publications
(16 citation statements)
references
References 22 publications
0
15
0
Order By: Relevance
“…Some researchers also investigated the implementation of a hybrid power delivery systems with off/on-chip regulators. Gjanci et al proposed a hybrid two-stage voltage regulation scheme with off-chip switching regulators and onchip linear regulators [26]. Vaisband et al also investigated the methodology of a heterogeneous power delivery system with off-chip switching regulators and up to 100 on-chip point-ofload linear regulators [27].…”
Section: Related Workmentioning
confidence: 99%
“…Some researchers also investigated the implementation of a hybrid power delivery systems with off/on-chip regulators. Gjanci et al proposed a hybrid two-stage voltage regulation scheme with off-chip switching regulators and onchip linear regulators [26]. Vaisband et al also investigated the methodology of a heterogeneous power delivery system with off-chip switching regulators and up to 100 on-chip point-ofload linear regulators [27].…”
Section: Related Workmentioning
confidence: 99%
“…It demonstrated the potential benefits of improving the system power consumption by providing fine-grained power management and fast voltage scaling. Gjanci et al proposed a hybrid two-stage power delivery system with off-chip buck converters and a tree structure of on-chip linear regulators, which was able to be efficient, simple and small area costly [18]. Yan et al presented an application-aware scheduling strategy to dynamically utilize the on-chip converters for the dynamic voltage scaling sensitive applications with limited area overhead of on-chip converters [19].…”
Section: Introductionmentioning
confidence: 99%
“…Several schemes for heterogeneous power delivery [29,8,[30][31][32] that consider tens to hundreds of on-chip power regulators have Contents lists available at ScienceDirect journal homepage: www.elsevier.com/locate/vlsi recently been proposed. Optimizing the power delivery process in terms of the co-design of the on-chip voltage regulators, decoupling capacitors, and current loads have been proposed in [29,8,[30][31][32].…”
Section: Introductionmentioning
confidence: 99%
“…Optimizing the power delivery process in terms of the co-design of the on-chip voltage regulators, decoupling capacitors, and current loads have been proposed in [29,8,[30][31][32]. The stability of a distributed power delivery system where several power supplies drive the same low impedance power grid is an additional concern, and should be addressed as power delivery systems become more complicated with multiple on-chip power regulators operating off the same grid.…”
Section: Introductionmentioning
confidence: 99%