Proceedings of 1994 IEEE/SEMI Advanced Semiconductor Manufacturing Conference and Workshop (ASMC)
DOI: 10.1109/asmc.1994.588245
|View full text |Cite
|
Sign up to set email alerts
|

A generic model for cluster tool throughput time and capacity

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
10
0

Publication Types

Select...
5
1
1

Relationship

2
5

Authors

Journals

citations
Cited by 23 publications
(10 citation statements)
references
References 2 publications
0
10
0
Order By: Relevance
“…Since it is a closed-type tool, exposure to contamination can be remarkably decreased and the cycle time may be reduced because it allows diverse wafer transfer patterns (or recipes). Also, it is relatively easy to control many fabrication parameters to improve the yield (Wood, Tripathi, and Moghadam 1994). Cluster tools in semiconductor manufacturing have been increasingly used for various wafer fabrication processes including photolithography, etching, chemical vapor deposition (CVD), physical vapor deposition (PVD), cleaning, thermal processing, and photoresist strip, which amount to almost 90% of entire wafer fabrication processes.…”
Section: Cluster Tool and Related Workmentioning
confidence: 99%
“…Since it is a closed-type tool, exposure to contamination can be remarkably decreased and the cycle time may be reduced because it allows diverse wafer transfer patterns (or recipes). Also, it is relatively easy to control many fabrication parameters to improve the yield (Wood, Tripathi, and Moghadam 1994). Cluster tools in semiconductor manufacturing have been increasingly used for various wafer fabrication processes including photolithography, etching, chemical vapor deposition (CVD), physical vapor deposition (PVD), cleaning, thermal processing, and photoresist strip, which amount to almost 90% of entire wafer fabrication processes.…”
Section: Cluster Tool and Related Workmentioning
confidence: 99%
“…The second term varies with lot size by the constant of proportionality , known as the tool takt time, incremental cycle time [10], [15], [16], [18], [19] or fundamental period [12], [13]. It is the mean time between wafer completions if ample WIP is available and is a function of both and .…”
Section: B Cluster Tool Dynamics Under Perfect Reliabilitymentioning
confidence: 99%
“…As the lot size decreases, the 9-min loadlock time will not change but the time to process the lot on the modules will decrease. Thus the tool's maximum throughput rate decreases if the lot size falls below a certain threshold [5], [30]. When the lot size falls below this threshold additional integrated tools would be required to maintain the original throughput rate.…”
Section: B Lot Sizementioning
confidence: 99%