2021
DOI: 10.1109/tvlsi.2021.3068242
|View full text |Cite
|
Sign up to set email alerts
|

A 32-Gb/s PAM-4 SST Transmitter With Four-Tap FFE Using High-Impedance Driver in 28-nm FDSOI

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
3
3
1
1

Relationship

0
8

Authors

Journals

citations
Cited by 13 publications
(3 citation statements)
references
References 16 publications
0
2
0
Order By: Relevance
“…The driver has two basic constructs, current mode logic (CML) and source-series terminated (SST). When the output swing is the same, the current required by the voltage mode driver is only 1/4 of the current mode driver [25]. The SST driver circuit has better linearity than the CML driver circuit for high-speed transmission, and the SST driver circuit can increase the height and width of the eye, so this paper used the SST driver circuit to drive the whole transmitter [5].…”
Section: Driver Circuit Designmentioning
confidence: 99%
“…The driver has two basic constructs, current mode logic (CML) and source-series terminated (SST). When the output swing is the same, the current required by the voltage mode driver is only 1/4 of the current mode driver [25]. The SST driver circuit has better linearity than the CML driver circuit for high-speed transmission, and the SST driver circuit can increase the height and width of the eye, so this paper used the SST driver circuit to drive the whole transmitter [5].…”
Section: Driver Circuit Designmentioning
confidence: 99%
“…Recent works have demonstrated that source-series terminated (SST) PAM-4 drivers exhibit good linearity due to their voltage operation mode which does not involve current mismatch [21,22,23]. Unfortunately, the output impedance matching and tunable adjustment for the feed-forward equalizer (FFE) result in heavy self-drain loads, requesting an advanced process to support a high operation speed [24,25,26]. In contrast, the current mode logic (CML) PAM-4 drivers can fully exploit the process potentials as their compact NMOS driving topology naturally features fast current switching speed and small parasitic capacitance [27].…”
Section: Introductionmentioning
confidence: 99%
“…However, the channel bandwidths of such display systems are severely limited due to loss and reflections from long PCB traces and FPC (Flexible Printed Circuit) structures. Therefore, transceivers for the display interfaces should adopt advanced signaling (such as PAM-4 [4][5][6][7]) and equalization (such as FFE [8,9]) techniques to achieve such high data rates in energy-efficient ways. However, the voltage mode FFE driver suffers from high power consumption, and the PAM-4 signaling yields high sensitivity to residual ISIs.…”
mentioning
confidence: 99%