2009 10th International Symposium on Quality of Electronic Design 2009
DOI: 10.1109/isqed.2009.4810285
|View full text |Cite
|
Sign up to set email alerts
|

3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs

Abstract: Abstract-3D Integrated Circuits (ICs) have been recently proposed as a solution to the increasing wire delay concerns in scaled technologies. At the same time, technology scaling leads to increasing variability in manufacturing process parameters, making it imperative to quantify the impact of these variations on performance. In this work, we take, to the best of our knowledge, the first step towards formally modeling the impact of process variations on the clock frequency of fully-synchronous (FS) 3D ICs. The… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

1
23
0

Year Published

2010
2010
2016
2016

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 32 publications
(24 citation statements)
references
References 16 publications
(18 reference statements)
1
23
0
Order By: Relevance
“…As a result, dieto-die variation in 2D chips renders on-package variation (OPV) in a 3D chip. In spite of the different variation effect in 3D chips, generally, 3D die stacking can produce high yield due to the smaller individual die area and the averaging effect of variation on data path [1][2][3]. However, 3D clock network can experience unintended huge clock skew due to the different clock propagation routes on multiple stacked dies.…”
Section: Introductionmentioning
confidence: 99%
See 2 more Smart Citations
“…As a result, dieto-die variation in 2D chips renders on-package variation (OPV) in a 3D chip. In spite of the different variation effect in 3D chips, generally, 3D die stacking can produce high yield due to the smaller individual die area and the averaging effect of variation on data path [1][2][3]. However, 3D clock network can experience unintended huge clock skew due to the different clock propagation routes on multiple stacked dies.…”
Section: Introductionmentioning
confidence: 99%
“…They also provided detail analysis to show low power clocking property of the multi-TSV approach compared to the single-TSV approach in the work [8]. Kim and Kim proposed low cost and low power 3D CTS solution while guaranteeing a minimal use of TSVs in the work [9] and Manuscript received Aug. 22, 2011; revised Dec. 1,2011. School of Electrical Engineering and Computer Science, Seoul National University, Seoul, Korea E-mail : {takyung, tkim}@ssl.snu.ac.kr a non-zero skew bounded 3D clock tree routing algorithm in the work [10].…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…The variations of the gate length (lmos) of both the NMOS and PMOS are considered [14]. Other sources of variations can also be A clock tree of Scheme (A) is simulated through SPICE.…”
Section: Simulation Results and Discussionmentioning
confidence: 99%
“…Since the planes of a 3-D IC are usually fabricated separately, the inter-die process variations are considered independent from plane to plane and uniform for the devices within one plane [14]. For a clock tree spanning N planes, the distribution of the skew S1,2 between sinks s1 and s2 considering inter-die (die-to-die (D2D)) variations is described by a Gaussian distribution [5],…”
Section: -D Clock Treesmentioning
confidence: 99%