2017 IEEE International Solid-State Circuits Conference (ISSCC) 2017
DOI: 10.1109/isscc.2017.7870256
|View full text |Cite
|
Sign up to set email alerts
|

3.2 Zen: A next-generation high-performance ×86 core

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
21
0

Year Published

2019
2019
2024
2024

Publication Types

Select...
3
2
2

Relationship

0
7

Authors

Journals

citations
Cited by 37 publications
(23 citation statements)
references
References 3 publications
0
21
0
Order By: Relevance
“…The processor consists of 2 Core Complexes (CCX). A CCX is a module containing 4 cores, which can connect to other CCX modules via Infinity Fabric [57], [58]. We did not observe extra conflicts other than the cache conflicts on this processor either.…”
Section: Attacking Amd Non-inclusive Cachesmentioning
confidence: 78%
“…The processor consists of 2 Core Complexes (CCX). A CCX is a module containing 4 cores, which can connect to other CCX modules via Infinity Fabric [57], [58]. We did not observe extra conflicts other than the cache conflicts on this processor either.…”
Section: Attacking Amd Non-inclusive Cachesmentioning
confidence: 78%
“…L OW-Dropout (LDO) Regulators have been extensively used to achieve fine-grained power delivery and power management in system-on-chip (SoC) platforms having multiple voltage domains and various load circuits [1]- [5]. Their power delivery networks commonly have hierarchical structures as shown in Fig.…”
Section: Introductionmentioning
confidence: 99%
“…Due to these advantages, DLDOs have been commercially adopted in various SoCs already. For example, IBM in their 22-nm POWER8 processor [1] and AMD in their 14-nm RYZEN processor [5] integrated distributed DLDOs to achieve highly efficient fine-grained power management low supply voltages.…”
Section: Introductionmentioning
confidence: 99%
“…Architecting an e cient power delivery network (PDN) for client processors (e.g., tablets, laptops, desktops) is a wellknown challenge that has been hotly debated in industry and academia in recent years. Due to multiple constraints, a modern client processor typically implements only one of three types of commonly-used PDNs: 1) motherboard voltage regulators (MBVR [29,41,63,97]), 2) low dropout voltage regulators (LDO [15,18,111,112,113,120]), and 3) integrated voltage regulators (IVR [21,61,88,117]). We nd that the energy-e ciency of each of the three di erent commonly-used PDN types varies di erently with the processor power (e.g., thermal design power (TDP 1 ) and dynamic power-state) and workload characteristics (e.g., workload type and computational intensity).…”
Section: Introductionmentioning
confidence: 99%
“…First, doing so allows system manufacturers to con gure a processor's TDP (known as con gurable TDP [5,63,132] or cTDP) to enable the processor to operate at higher or lower performance levels, depending on the available cooling capacity and desired power consumption. For example, the Intel Skylake processor uses an MBVR PDN [26,117] for all TDP ranges (from 3W [56] to 91W [57]) and recent AMD client processors use an LDO PDN [3,4,15,18,111,112], while enabling cTDP [56,57]. Second, it reduces non-recurring engineering (NRE [81]) cost and design complexity to allow competitive product prices and enable meeting of strict time-to-market requirements.…”
Section: Introductionmentioning
confidence: 99%