2015 IEEE International Electron Devices Meeting (IEDM) 2015
DOI: 10.1109/iedm.2015.7409774
|View full text |Cite
|
Sign up to set email alerts
|

20nm DRAM: A new beginning of another revolution

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
21
0

Year Published

2017
2017
2022
2022

Publication Types

Select...
4
3
2

Relationship

0
9

Authors

Journals

citations
Cited by 40 publications
(23 citation statements)
references
References 1 publication
0
21
0
Order By: Relevance
“…This minimum device size is slightly smaller than that in [ 17 20 ], as shown in Table 3 . However, the minimum size of DGTFET DRAM is still larger than that of 20 nm/18 nm node 1T1C DRAM [ 31 ], which is the inherent shortcoming for DGTFET DRAM. But its advantages of capacitor-less, low power, and high RT cannot be ignored under the help of optimization of spacer engineering.…”
Section: Resultsmentioning
confidence: 99%
“…This minimum device size is slightly smaller than that in [ 17 20 ], as shown in Table 3 . However, the minimum size of DGTFET DRAM is still larger than that of 20 nm/18 nm node 1T1C DRAM [ 31 ], which is the inherent shortcoming for DGTFET DRAM. But its advantages of capacitor-less, low power, and high RT cannot be ignored under the help of optimization of spacer engineering.…”
Section: Resultsmentioning
confidence: 99%
“…DRAM systems today have a process variation much less than this tolerable ±28% (56% cell to cell). For example, the capacitance dierence between two generation is only 10⇠15% [70]. Also, industry inventions on new DRAM capacitance structures signicantly increase the capacitance of DRAM cell and therefore reduce the impact of variation [70].…”
Section: Discussionmentioning
confidence: 99%
“…First, fine-pitch metal line and contact resistance (R) increase drastically. The metal resistivity sharply increases below 20nm film thickness because of shrinking in metal volume and the surface scattering effect [51]. This increases the resistance of WLs and BLs.…”
Section: Key Design Challengesmentioning
confidence: 99%
“…Significant efforts have made on various aspects of DRAM designs including material (e.g., high-k metal gate to increase transistor speed), fabrication technology (e.g., filling air within spacers to reduce BL capacitance [51]), and cell structuring (e.g., deploying cells like honeycomb to increase cell spacing [51]) to increase DRAM density without sacrificing timing constraints. However, those incur substantial manufacturing costs, need time to be applied stably, or become one-time magic desiring a new solution (another magic) next time.…”
Section: Key Design Challengesmentioning
confidence: 99%