2019
DOI: 10.1109/jssc.2018.2873584
|View full text |Cite
|
Sign up to set email alerts
|

“Zeppelin”: An SoC for Multichip Architectures

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
37
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
6
2
1

Relationship

0
9

Authors

Journals

citations
Cited by 42 publications
(37 citation statements)
references
References 6 publications
0
37
0
Order By: Relevance
“…In [25], the energy efficiency of Lakefield can be improved to 0.2 pJ/b, and the architecture can be configured for PC and mobile processors. In [28], the Chiplets were prepared with the most mature technology among all computing systems; however, the delay can be reduced to 0.6 ns/mm and the bandwidth can be improved to 527 GB/s through 3D integration. In [26], the interconnect pitch between µbumps can be reduced to 20 µm through 2.5D integration, and the maximum bandwidth reaches 1 TB/s.…”
Section: Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…In [25], the energy efficiency of Lakefield can be improved to 0.2 pJ/b, and the architecture can be configured for PC and mobile processors. In [28], the Chiplets were prepared with the most mature technology among all computing systems; however, the delay can be reduced to 0.6 ns/mm and the bandwidth can be improved to 527 GB/s through 3D integration. In [26], the interconnect pitch between µbumps can be reduced to 20 µm through 2.5D integration, and the maximum bandwidth reaches 1 TB/s.…”
Section: Discussionmentioning
confidence: 99%
“…Although the inter-chip communication based on mutual inductance simplifies the routing design; however, electromagnetic coupling in a small volume leads to signal timing deterioration; therefore, this method requires a sufficient shielding design, which can increase the design difficulty. Burd et al [28] proposed the infinity fabric (IF) technology to connect Chiplets for higher scalability and configurability in a computing system. It combines scalable data fabric (SDF) and scalable control fabric (SCF) as a critical enabler and utilizes 3D package routing layers to support more complex connections.…”
Section: Computing Architecture Integrated With 3d Technologymentioning
confidence: 99%
“…Modern computer platforms require a large number of discrete voltage rails, often with multiple voltages required for a single component. For example, a CPU may require three separate voltage domains [5] while each channel of DDR4 DRAM requires two [46]. This means that for a basic two socket server system, there are a minimum of 14 required voltage regulators.…”
Section: Instrumentationmentioning
confidence: 99%
“…Cache-coherent on-chip communication protocols currently in use include Intel's UltraPath Interconnect [32], AMD's scalable data fabric [33], IBM's Power9 on-chip interconnect [34], AMBA AXI Coherency Extensions (ACE) [8], AMBA5 Coherent Hub Interface (CHI) [35], and TileLink Cached (TL-C) [22]. ACE and TL-C are extensions of AXI and TL-UH, respectively.…”
Section: Related Workmentioning
confidence: 99%