2016
DOI: 10.1109/tvlsi.2015.2512611
|View full text |Cite
|
Sign up to set email alerts
|

Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
19
0

Year Published

2016
2016
2021
2021

Publication Types

Select...
4
4
1

Relationship

2
7

Authors

Journals

citations
Cited by 37 publications
(19 citation statements)
references
References 30 publications
0
19
0
Order By: Relevance
“…In this paper, authors explore the paradigm of wireless NoC and demonstrate that by incorporating WiNoC, VFI, and dynamic V/F tuning synergistically, it can be designed energy-efficient multicore platforms without introducing noticeable performance penalty. The proposed approach can achieve between 5.7% and 46.6% EDP 2 savings over the state-of-the-art system and 26.8% and 60.5% EDP savings over a standard baseline non-VFI mesh-based system [15]. An adaptive multi-voltage scaling in WiNoC for high-performance, low power applications is proposed in [16].…”
Section: Related Workmentioning
confidence: 99%
“…In this paper, authors explore the paradigm of wireless NoC and demonstrate that by incorporating WiNoC, VFI, and dynamic V/F tuning synergistically, it can be designed energy-efficient multicore platforms without introducing noticeable performance penalty. The proposed approach can achieve between 5.7% and 46.6% EDP 2 savings over the state-of-the-art system and 26.8% and 60.5% EDP savings over a standard baseline non-VFI mesh-based system [15]. An adaptive multi-voltage scaling in WiNoC for high-performance, low power applications is proposed in [16].…”
Section: Related Workmentioning
confidence: 99%
“…However, this significantly complicates the voltage and frequency tuning, as a single decision must be made for the entire group of cores and communication links. Machine learning can be utilized here to help generalize a model that isn't obvious, to efficiently allocate the voltage and frequency of a VFI [19].…”
Section: 2) Dynamic Optimizationmentioning
confidence: 99%
“…Consequently, in this work, we use VFI as the suitable power management technique in 3D SWNoC and apply heterogeneous clustering (having different number of tiles for each cluster) for exploiting maximum benefits of VFI. The heterogeneous approach has been found to be more efficient than the homogeneous counterpart for enabling VFI-based power management (Kim et al 2016).…”
Section: Voltage Frequency Island-enabled 3d Nocmentioning
confidence: 99%