2017
DOI: 10.1109/ted.2017.2737644
|View full text |Cite
|
Sign up to set email alerts
|

Wafer-Level Integration of an Advanced Logic-Memory System Through the Second-Generation CoWoS Technology

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
30
0

Year Published

2018
2018
2021
2021

Publication Types

Select...
3
3
2

Relationship

0
8

Authors

Journals

citations
Cited by 134 publications
(35 citation statements)
references
References 6 publications
0
30
0
Order By: Relevance
“…(requires precise die placement and low die edge roughness) [7,12,22] to usually a few millimeters [29]. The first I/O column is placed at a distance from the edge of the die to accommodate dicing channel and sealring.…”
Section: 5d Interconnect Modelingmentioning
confidence: 99%
See 1 more Smart Citation
“…(requires precise die placement and low die edge roughness) [7,12,22] to usually a few millimeters [29]. The first I/O column is placed at a distance from the edge of the die to accommodate dicing channel and sealring.…”
Section: 5d Interconnect Modelingmentioning
confidence: 99%
“…Several 2.5D integration technologies have already been commercialized and many others are under active development. Examples include TSMC's CoWoS [9,12], InFO [21], Intel's EMIB [22], Samsung I-Cube [2], Amkor's CoS, CoW, HDFO technologies [19], Silicon Interconnect Fabric (Si-IF) [6,7], etc. These technologies offer minimum bump pitch in the range of 10 -65 , minimum wire pitch in the range of 0.4 -4 , and 2-4 layers of metal routing.…”
mentioning
confidence: 99%
“…Because of the drive of AI, ML, and 5 G, the semiconductors such as the sliced field-programmable gate arrays density and I/Os increase and pad-pitch decreases. Even a 12 build-up layers (6-2-6), organic package substrate is not enough to support the sliced chips and a TSV-interposer is needed [121][122][123][124][125][126][127][128][129][130][131][132][133][134][135][136][137][138][139]. TSMC called this kind of structure CoWoS (chip-on-wafer-on substrate) [137,138].…”
Section: Opportunities For Fan-out Wafer-level Packagingmentioning
confidence: 99%
“…Leti [121,122] called it SoW (system-on-wafer). Figure 35 schematically [123] shows an advanced packaging of a SoC (system-of-chip) such as the central processor unit (CPU) or graphic processor unit (GPU) and HBMs, which consist of a stack of DRAMs and a base logic vertically interconnect through TSVs and microbumps. These SoC and HBMs are side-by-side attached through microbumps on a TSV-interposer with RDLs.…”
Section: Opportunities For Fan-out Wafer-level Packagingmentioning
confidence: 99%
“…Integrated circuit (IC) technology incorporates various features on single electrical chips [1]. The increasing miniaturization of modern ICs has promoted the use of high-density plasma irradiation for silicon wafer processing.…”
Section: Introductionmentioning
confidence: 99%